Guide d utilisation des outils de conception VHDL - FPGA

Dimension: px
Commencer à balayer dès la page:

Download "Guide d utilisation des outils de conception VHDL - FPGA"

Transcription

1 Guide d utilisation des outils de conception VHDL - FPGA Eduardo Sanchez EPFL - LSL 2000 Guide des outils de conception Page 1 Eduardo Sanchez

2 Exemple de programme VHDL: Une ALU 8 bits C est une ALU très simple, avec seulement deux opérations possibles, choisies à l aide de la variable de contrôle OPALU: OPALU opération 0 A + B 1 A - B Les deux entrées (ENTREEA et ENTREEB) ainsi que le résultat (RESULTAT) sont des variables à 8 bits. L opération de soustraction produit un flag qui est chargé dans un registre si la variable de contrôle LDFLAG est active. ENTREEA ENTREEB CLK START INALU OPALU LDFLAG FLAG RESULTAT ENTREEA ENTREEB INALU START OPALU ALU LDFLAG FLAG RESULTAT CLK Guide des outils de conception Page 2 Eduardo Sanchez

3 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity alu is port (clk : in std_logic; start, inalu, opalu, ldflag : in std_logic; flag : out std_logic; entreea, entreeb : in std_logic_vector(7 downto 0); resultat : out std_logic_vector(7 downto 0)); end alu; architecture synt of alu is signal vraib : std_logic_vector(7 downto 0); signal zero : std_logic; begin process (entreeb, inalu) begin if inalu='0' then vraib <= entreeb; else vraib <= (others => '0'); end if; end process; process (opalu, entreea, vraib) variable bidon : std_logic_vector(7 downto 0); begin zero <= '0'; if opalu='0' then resultat <= entreea + vraib; else bidon := entreea - vraib; resultat <= bidon; if (bidon = " ") then zero <= '1'; end if; end if; end process; process (clk, ldflag, start) begin if (clk'event and clk='1') then if start='1' then flag <= '0'; else if ldflag='1' then flag <= zero; end if; end if; end if; end process; end synt; Guide des outils de conception Page 3 Eduardo Sanchez

4 Simulation VHDL avec ModelSim (avant placement - routage du circuit FPGA) ❶ Démarrer l application ModelSim: Start Programs ModelSim SE-EE 5.4c ModelSim barre de menus barre d outils écriture de commandes et affichage de résultats Les commandes du simulateur peuvent être exécutées depuis la barre de menus, depuis la barre d outils ou en les écrivant dans la fenêtre de contrôle Guide des outils de conception Page 4 Eduardo Sanchez

5 ❷ Créer un projet, en indiquant son emplacement et son nom : File New New Project En créant un projet, ModelSim crée en fait un dossier (directory) de travail, au nom du projet, et la bibliothèque (library) de travail (work). Les fichiers VHDL à compiler et à simuler doivent se trouver à l intérieur du projet.. Dans notre exemple, après la création du projet toto, nous aurons un nouveau dossier toto avec, à son intérieur, un nouveau dossier work (la bibliothèque de travail) et un nouveau fichier toto.mpf (des informations sur le projet, internes à ModelSim). Nous devons maintenant créer notre fichier VHDL alu.vhd à l intérieur du dossier toto. Créer le fichier VHDL de travail: File New New Source Après avoir introduit le nom du nouveau fichier, un éditeur de texte est appelé. Bien entendu, il est possible d utiliser des fichiers source déjà existants: il suffit pour cela de les importer dans le projet: Options Edit Project Guide des outils de conception Page 5 Eduardo Sanchez

6 Le fichier source est cherché à l aide du bouton Browse; une fois trouvé, il est importé dans le projet à l aide du bouton Import. ❹ Compiler les programmes VHDL dans la bibliothèque work: Design Compile Project ou icône Compile sur la barre d outils: Guide des outils de conception Page 6 Eduardo Sanchez

7 Avant de choisir les fichiers à compiler, vérifier que l option de compilation Use explicit declarations only est active (avec le bouton Default options du dialogue précédent): Les fichiers doivent être compilés dans le bon ordre hiérarchique, de bas en haut. A la fin de la compilation, presser le bouton Done. ❺ Charger l architecture que l on veut simuler: Design Load Design ou icône Load Design sur la barre d outils: Guide des outils de conception Page 7 Eduardo Sanchez

8 ❻ Afficher toutes les fenêtres de simulation: View All Guide des outils de conception Page 8 Eduardo Sanchez

9 ❼ Déplacer dès la fenêtre signals vers la fenêtre wave tous les signaux que l on veut suivre en simulation. Il peut être nécessaire de changer de module dans la fenêtre structure pour voir apparaître à la fenêtre signals les signaux recherchés. Si nécessaire, modifier la base pour l affichage des valeurs numériques des signaux. Pour passer à la base hexadécimale, par exemple, il faut sélectioner le signal voulu et exécuter la commande: Format Radix Hexadecimal ❽ Exécuter les commandes de simulation. Ceci peut être fait en les écrivant dans la fenêtre de commande ou en exécutant un script: do NomDuScript Un exemple de script de commande pour l ALU du chapitre précédent est le suivant: force clk 0 0, repeat 80 force start 1 0, 0 60 force entreea 16#39 0, 16#0 60, 16# force inalu 0 0, 1 60, force entreeb 16#12 0, 16# force opalu 1 0, 0 140, force ldflag 0 0, 1 60 run 300 ns Le résultat de cette simulation est illustré par la figure suivante: Guide des outils de conception Page 9 Eduardo Sanchez

10 Guide des outils de conception Page 10 Eduardo Sanchez

11 Synthèse avec Leonardo ❶ Démarrer l application Leonardo Spectrum: Start Programs LeonardoSpectrum v1999.1f Leonardo Spectrum ❷ Exécuter le wizard depuis la barre d outils Guide des outils de conception Page 11 Eduardo Sanchez

12 Choisir la cible de la synthèse: type de circuit (FPGA), fabricant (Xilinx), numéro du circuit (4013E HQ240-4) Guide des outils de conception Page 12 Eduardo Sanchez

13 ❹ Choisir le dossier (directory) de travail, le fichier VHDL à synthétiser et le type de codage pour les machines séquentielles Guide des outils de conception Page 13 Eduardo Sanchez

14 ❺ Spécifier la vitesse voulue de fonctionnement (fréquence d horloge) Guide des outils de conception Page 14 Eduardo Sanchez

15 ❻ Spécifier le format de sortie de la netlist (le format par défaut, Auto, produit un fichier en format EDIF) Guide des outils de conception Page 15 Eduardo Sanchez

16 ❼ Le bouton Finish du dialogue précédent termine l introductions des données et démarre la synthèse. Les résultats sont affichés dans la fenêtre principale (faire attention aux éventuels warnings et messages d erreurs). Ces résultats sont sauvés également dans le fichier exemplar.log. utilisation du FPGA fréquence d horloge Le fichier VHDL peut être modifié directement dans Leonardo: il suffit de cliquer sur un message d erreur pour ouvrir une fenêtre avec le code source. Dans ce cas, après correction on doit relancer le wizard Guide des outils de conception Page 16 Eduardo Sanchez

17 ❽ Afficher le logigramme généré en cliquant sur le bouton RTL Schematic de la barre d outils ❾ Sauver le projet: File Save project Guide des outils de conception Page 17 Eduardo Sanchez

18 Placement-routage du FPGA avec Xilinx Alliance ❶ Démarrer l application Xilinx Alliance Design Manager: Start Programs Xilinx Alliance Series 2.1i Design Manager Guide des outils de conception Page 18 Eduardo Sanchez

19 ❷ Créer un nouveau projet, dans votre dossier (directory) de travail: File New Project... Le fichier d entrée est celui avec la netlist en format EDIF: Ensuite on peut choisir le nom de la version et le type de circuit (en principe, il ne faut rien faire: les valeurs par défaut sont correctes): Guide des outils de conception Page 19 Eduardo Sanchez

20 Modifier les options pour générer un fichier de simulation compatible avec Model Sim: Design Options... ❹ Démarrer le processus de placement-routage, avec le bouton Implement de la barre d outils Guide des outils de conception Page 20 Eduardo Sanchez

21 Simulation VHDL avec ModelSim (après placement - routage du circuit FPGA) ❶ Démarrer l application ModelSim: Start Programs ModelSim EE 5.3a_p1 ModelSim barre de menus barre d outils écriture de commandes et affichage de résultats Les commandes du simulateur peuvent être exécutées depuis la barre de menus, depuis la barre d outils ou en les écrivant dans la fenêtre de contrôle ❷ Choisir le dossier (directory) de travail (c est le dossier où se trouvent les programmes VHDL à compiler et à simuler): File Change Directory... Guide des outils de conception Page 21 Eduardo Sanchez

22 Créer la bibliothèque simprim_lib, nécessaire à la simulation temporelle: Design Create a New Library... Créer un lien logique (mapping) de simprim_lib avec simprim, le nom de la bibliothèque fournie par Xilinx avec les modèles VHDL de ses composants: Design Create a New Library... Guide des outils de conception Page 22 Eduardo Sanchez

23 ❹ Compiler les éléments de la bibliothèque simprim. Ces éléments, fournis par Xilinx, se trouvent dans trois fichiers, que l on doit compiler dans l ordre suivant: <Xilinx_dir>\vhdl\src\simprims\simprim_Vpackage.vhd <Xilinx_dir>\vhdl\src\simprims\simprim_VITAL.vhd <Xilinx_dir>\vhdl\src\simprims\simprim_Vcomponents.vhd La bibliothèque de compilation doit être simprim et non pas work. Design Compile... ou icône Compile Guide des outils de conception Page 23 Eduardo Sanchez

24 ❺ Compiler, dans la bibliothèque work, le fichier time_sim.vhd, qui contient la netlist créée par l outil de placement-routage de Xilinx (Alliance): Guide des outils de conception Page 24 Eduardo Sanchez

25 ❻ Charger (icône Load Design) le système que l on veut simuler. Pour commencer, il faut ajouter le fichier time_sim.sdf, écrit dans le dossier de travail par l outil de placement-routage (Xilinx Alliance): Guide des outils de conception Page 25 Eduardo Sanchez

26 Ensuite, il faut charger l architecture que l on veut simuler: Guide des outils de conception Page 26 Eduardo Sanchez

27 ❼ Afficher toutes les fenêtres de simulation: View All Guide des outils de conception Page 27 Eduardo Sanchez

28 ❽ Déplacer dès la fenêtre signals vers la fenêtre wave tous les signaux que l on veut suivre en simulation. Il peut être nécessaire de changer de module dans la fenêtre structure pour voir apparaître à la fenêtre signals les signaux recherchés. Si nécessaire, modifier la base pour l affichage des valeurs numériques des signaux. Pour passer à la base hexadécimale, par exemple, il faut sélectioner le signal voulu et exécuter la commande: Prop Radix Hexadecimal Guide des outils de conception Page 28 Eduardo Sanchez

29 ❾ Exécuter les commandes de simulation. Ceci peut être fait en les écrivant dans la fenêtre de commande ou en exécutant un script: do NomDuScript Un exemple de script de commande pour l ALU de notre exemple est le suivant: force clk 0 0, repeat 80 force start 1 0, 0 60 force entreea 16#39 0, 16#0 60, 16# force inalu 0 0, 1 60, force entreeb 16#12 0, 16# force opalu 1 0, 0 140, force ldflag 0 0, 1 60 run 300 ns Le résultat de cette simulation est illustré par la figure suivante: Ces résultats de simulation sont différents de ceux obtenus avant le placementroutage: les retards sont maintenant visibles, notamment dans le bus resultat et le signal flag. Guide des outils de conception Page 29 Eduardo Sanchez

Le langage VHDL. Eduardo Sanchez EPFL

Le langage VHDL. Eduardo Sanchez EPFL Le langage VHDL Eduardo Sanchez EPFL Livres conseillés: John F. Wakerly Digital design (4th edition) Prentice Hall, 2005 Peter J. Ashenden The designer's guide to VHDL (3rd edition) Morgan Kaufmann, 2008

Plus en détail

SIN-FPGA DESCRIPTION PAR SCHEMA

SIN-FPGA DESCRIPTION PAR SCHEMA SIN-FPGA DESCRIPTION PAR SCHEMA Documents ressources: http://www.altera.com/literature/lit-index.html Introduction to Quartus II : intro_to_quartus2.pdf Documentation QUARTUS II : quartusii_handbook.pdf

Plus en détail

Manipulations du laboratoire

Manipulations du laboratoire Manipulations du laboratoire 1 Matériel Les manipulations de ce laboratoire sont réalisées sur une carte électronique comprenant un compteur 4-bit asynchrone (74LS93) avec possibilité de déclenchement

Plus en détail

Conception Systèmes numériques VHDL et synthèse automatique des circuits

Conception Systèmes numériques VHDL et synthèse automatique des circuits Année 2008-2009 Conception Systèmes numériques VHDL et synthèse automatique des circuits Travaux pratiques Pentium4 Présentation du simulateur VHDL sous environnement Cadence Présentation de l outil Synopsys

Plus en détail

Conception Systèmes numériques VHDL et synthèse automatique des circuits

Conception Systèmes numériques VHDL et synthèse automatique des circuits Année 2011-2012 Conception Systèmes numériques VHDL et synthèse automatique des circuits Travaux pratiques WIDEMACV1 LAAS-CNRS 2011 Présentation du simulateur VHDL sous environnement Cadence Présentation

Plus en détail

Comment paramétrer et sauvegarder les configurations d Altium Designer?

Comment paramétrer et sauvegarder les configurations d Altium Designer? 1/2009 13.01.2009 Paramétrage d Altium Designer Question: Comment paramétrer et sauvegarder les configurations d Altium Designer? Contexte: De nombreux clients posent souvent les questions suivantes :

Plus en détail

Support pour les langues s écrivant de droite à gauche

Support pour les langues s écrivant de droite à gauche Documentation EMu Support pour les langues s écrivant de droite à gauche Version 1.0 Version EMu 4.0 www.kesoftware.com 2010 KE Software. All rights reserved. Contents SECTION 1 Résumé 1 SECTION 2 Changer

Plus en détail

Eclipse atelier Java

Eclipse atelier Java Eclipse atelier Java Table des matières 1. Introduction...2 2. Télécharger eclipse...3 3. Installer eclipse...3 4. Premier lancement d eclipse...3 5. Configurer eclipse pour faire du Java...5 6. Développer

Plus en détail

Déploiement de SAS 9.1.3 Foundation

Déploiement de SAS 9.1.3 Foundation Déploiement de SAS 9.1.3 Foundation I. Installation de SAS sur des postes en local à partir de Cédéroms 3 II. Phase de préparation au déploiement : Création des images disque 6 a) Pour une installation

Plus en détail

Installation et compilation de gnurbs sous Windows

Installation et compilation de gnurbs sous Windows Installation et compilation de gnurbs sous Windows Installation de l environnement de développement Code::Blocks (Environnement de développement) 1. Télécharger l installateur de Code::Blocks (version

Plus en détail

1 Démarrer... 3 1.1 L écran Isis...3 1.2 La boite à outils...3 1.2.1 Mode principal... 4 1.2.2 Mode gadget...4 1.2.3 Mode graphique...

1 Démarrer... 3 1.1 L écran Isis...3 1.2 La boite à outils...3 1.2.1 Mode principal... 4 1.2.2 Mode gadget...4 1.2.3 Mode graphique... 1 Démarrer... 3 1.1 L écran Isis...3 1.2 La boite à outils...3 1.2.1 Mode principal... 4 1.2.2 Mode gadget...4 1.2.3 Mode graphique... 4 2 Quelques actions... 5 2.1 Ouvrir un document existant...5 2.2

Plus en détail

Quoi de neuf en LabVIEW FPGA 2010?

Quoi de neuf en LabVIEW FPGA 2010? Quoi de neuf en LabVIEW FPGA 2010? Yannick DEGLA Ingénieur d Application Fonctionnalités de LabVIEW FPGA 2010 Nœud d intégration d IP - Importer directement des fichiers.xco de Xilinx ou vos propres VHDL

Plus en détail

Once the installation is complete, you can delete the temporary Zip files..

Once the installation is complete, you can delete the temporary Zip files.. Sommaire Installation... 2 After the download... 2 From a CD... 2 Access codes... 2 DirectX Compatibility... 2 Using the program... 2 Structure... 4 Lier une structure à une autre... 4 Personnaliser une

Plus en détail

T. BLOTIN Lycée Paul-Eluard 93206 SAINT-DENIS

T. BLOTIN Lycée Paul-Eluard 93206 SAINT-DENIS T. BLOTIN Lycée Paul-Eluard 93206 SAINT-DENIS SOMMAIRE I. Le VHDL pour qui, pourquoi, quand, comment? A. Le VHDL!...... 1 B. Pourquoi un langage de description?...... 1 C. Les limites actuelles...... 2

Plus en détail

Le Client/Serveur avec Enterprise Miner version 4

Le Client/Serveur avec Enterprise Miner version 4 Le Client/Serveur avec Enterprise Miner version 4 1 Comment configurer le client/serveur avec la version 4 d'enterprise Miner? Comme en version 3.0, il suffit de faire cette opération une seule fois. Un

Plus en détail

Configuration de GFI MailArchiver

Configuration de GFI MailArchiver Configuration de GFI MailArchiver Introduction à la configuration Après l installation de GFI MailArchiver, vous pourrez commencer la configuration de l interface Web et terminer la configuration de GFI

Plus en détail

REPETEUR SANS FIL N 300MBPS

REPETEUR SANS FIL N 300MBPS REPETEUR SANS FIL N 300MBPS Guide d installation rapide DN-70181 Introduction : Le DN-70181 est un répéteur Wi-Fi permettant une connexion réseau filaire/sans fil conçu spécialement pour les besoins des

Plus en détail

Création d installateurs pour Windows avec InnoSetup

Création d installateurs pour Windows avec InnoSetup Création d installateurs pour Windows avec InnoSetup 14 juin 2012 F. Langrognet 5 e rencontre DevelopR6 Laboratoire de Mathématiques de Besançon F. Langrognet () INNOSETUP Juin 2012 1 / 50 INNOSETUP 1

Plus en détail

Network Shutdown Module V3 Extension du Manuel Utilisateur pour architecture Virtualisée VMWare ESX Server 3, 3.5

Network Shutdown Module V3 Extension du Manuel Utilisateur pour architecture Virtualisée VMWare ESX Server 3, 3.5 Network Shutdown Module V3 Extension du Manuel Utilisateur pour architecture Virtualisée VMWare ESX Server 3, 3.5 Machine virtuelle Machine virtuelle Machine virtuelle VMware ESX Network Shutdown Module

Plus en détail

TABLE DES MATIÈRES 1. DÉMARRER ISIS 2 2. SAISIE D UN SCHÉMA 3 & ' " ( ) '*+ ", ##) # " -. /0 " 1 2 " 3. SIMULATION 7 " - 4.

TABLE DES MATIÈRES 1. DÉMARRER ISIS 2 2. SAISIE D UN SCHÉMA 3 & '  ( ) '*+ , ##) #  -. /0  1 2  3. SIMULATION 7  - 4. TABLE DES MATIÈRES 1. DÉMARRER ISIS 2 2. SAISIE D UN SCHÉMA 3! " #$ % & ' " ( ) '*+ ", ##) # " -. /0 " 1 2 " 3' & 3. SIMULATION 7 0 ( 0, - 0 - " - & 1 4. LA SOURIS 11 5. LES RACCOURCIS CLAVIER 11 STI Electronique

Plus en détail

IFT287 Exploitation de base de données relationnelles et orientées objet. Laboratoire Mon premier programme Java en Eclipse

IFT287 Exploitation de base de données relationnelles et orientées objet. Laboratoire Mon premier programme Java en Eclipse IFT287 Exploitation de base de données relationnelles et orientées objet Laboratoire Mon premier programme Java en Eclipse Comment faire? Nous allons utiliser l environnement de travail proposé par Eclipse

Plus en détail

Notice ARES Version 5.20 Française

Notice ARES Version 5.20 Française ARES -1/19 Notice ARES Version 5.20 Française Carlos Valente Technicien IUT LIMOGES Département Génie Electrique et informatique Industrielle 19100 Brive la gaillarde France. Page - 1/19 ARES -2/19 Routage

Plus en détail

QUESTION 1 {2 points}

QUESTION 1 {2 points} ELE4301 Systèmes logiques II Page 1 de 8 QUESTION 1 {2 points} En se servant de paramètres électriques donnés dans le Tableau 1 ci-dessous, on désire déterminer la fréquence d opération du compteur présenté

Plus en détail

Guide d installation de MySQL

Guide d installation de MySQL INF 1250 Introduction aux bases de données Guide d installation de MySQL 1 Introduction Ce guide vous indique la façon d installer et d utiliser le logiciel MySQL de Oracle (disponible en licence GPL).

Plus en détail

Introduction à Eclipse

Introduction à Eclipse Introduction à Eclipse Eclipse IDE est un environnement de développement intégré libre (le terme Eclipse désigne également le projet correspondant, lancé par IBM) extensible, universel et polyvalent, permettant

Plus en détail

Importation de fichiers Eagle

Importation de fichiers Eagle Importation de fichiers Eagle 2 Mention de réserve sur les droits d'auteur Les droits d auteur rattachés à tout ou partie des présents logiciel et manuel appartiennent à RS Components et ne peuvent être

Plus en détail

Connexions à un projet CVS via Eclipse en accès local et distant. 15 Mai 2007

Connexions à un projet CVS via Eclipse en accès local et distant. 15 Mai 2007 Connexions à un projet CVS via Eclipse en accès local et distant Frédéric Lepage 15 Mai 2007 1 TABLE DES MATIÈRES TABLE DES MATIÈRES Table des matières 1 Introduction 3 1.1 Workspace...........................................

Plus en détail

Projet audio. Analyse des Signaux ELE2700

Projet audio. Analyse des Signaux ELE2700 ÉCOLE POLYTECHNIQUE DE MONTRÉAL Département de Génie Électrique Projet audio Analyse des Signaux ELE2700 Saad Chidami - 2014 Table des matières Objectif du laboratoire... 4 Caractérisation du bruit...

Plus en détail

Mini_guide_Isis.pdf le 23/09/2001 Page 1/14

Mini_guide_Isis.pdf le 23/09/2001 Page 1/14 1 Démarrer...2 1.1 L écran Isis...2 1.2 La boite à outils...2 1.2.1 Mode principal...3 1.2.2 Mode gadgets...3 1.2.3 Mode graphique...3 2 Quelques actions...4 2.1 Ouvrir un document existant...4 2.2 Sélectionner

Plus en détail

Principe de fonctionnement du lanceur d'application "AdisTlsStartCfgLotus"

Principe de fonctionnement du lanceur d'application AdisTlsStartCfgLotus IS00788 Principe de fonctionnement du lanceur d'application Fiche IS00788 Version document : 1.02 Diffusion limitée : Systancia, membres du programme Partenaires AppliDis et clients ou prospects de Systancia

Plus en détail

Votre premier projet Android

Votre premier projet Android 3 Votre premier projet Android Maintenant que le SDK Android est installé, il est temps de créer votre premier projet. La bonne nouvelle est qu il n exige aucune ligne de code les outils Android créent

Plus en détail

Travaux pratiques 1.3.2 Détermination de la capacité de stockage des données

Travaux pratiques 1.3.2 Détermination de la capacité de stockage des données Travaux pratiques 1.3.2 Détermination de la capacité de stockage des données Objectifs Déterminer la quantité de mémoire RAM (en Mo) installée sur un ordinateur Déterminer la taille de l unité de disque

Plus en détail

Super HUD- Guide Utilisateur

Super HUD- Guide Utilisateur - Guide Utilisateur De Poker Pro Labs Version - 2 Table des Matières 1. Introduction à Super HUD... 1 2. Installer Super HUD... 2 3. Pour Commencer... 7 3.1 Vous n avez pas de Compte... 8 3.2 Adhésion(s)

Plus en détail

INSTRUCTIONS D'INSTALLATION

INSTRUCTIONS D'INSTALLATION VISUALISEUR 4.1 VISUALISEUR_V4.1 P720S INSTRUCTIONS D'INSTALLATION Version 0.6 MODIFIÉ LE : 12 MAI 2014 (DOCUMENT DE TRAVAIL ) 5 CONCEPTION Rôle Responsable : Autre(s) rédacteurs(s) : Équipe de travail

Plus en détail

Tutoriel code::blocks

Tutoriel code::blocks Tutoriel code::blocks E. Lunéville 2006 Le logiciel code::blocks fait partie des logiciels de type EDI (Environnement de Développement Intégré, IDE en anglais) pour le langage C++. Il est multiplateforme

Plus en détail

Quelques outils pour le logiciel «Tuxpaint»

Quelques outils pour le logiciel «Tuxpaint» Quelques outils pour le logiciel «Tuxpaint» Version PC 0.9.20b- 2008-08-20 Télécharger la version gratuite : http://www.tuxpaint.org/download/ Pascale-Dominique Chaillez Lynda O Connell Conseillères pédagogiques

Plus en détail

Présentation de l outil AppliDis ESX Console Viewer

Présentation de l outil AppliDis ESX Console Viewer Fiche technique AppliDis Présentation de l outil AppliDis ESX Console Viewer Fiche IS00305 Version document : 1.01 Diffusion limitée : Systancia, membres du programme Partenaires AppliDis et clients ou

Plus en détail

MEDIA NAV Guide de téléchargement de contenus en ligne

MEDIA NAV Guide de téléchargement de contenus en ligne MEDIA NAV Guide de téléchargement de contenus en ligne Le présent document donne des instructions pour procéder aux mises à jour du logiciel et du contenu de l appareil de navigation de l utilisateur.

Plus en détail

Mallette Métrologie Contrôle des spectrophotomètres

Mallette Métrologie Contrôle des spectrophotomètres 1 MATERIEL Mallette Métrologie 2 PRINCIPE Le présent mode opératoire vise à décrire les différentes étapes à suivre afin de : - Vérifier l exactitude de la longueur d onde de 250 à 650 nm sous condition

Plus en détail

esms Desktop Guide de l utilisateur

esms Desktop Guide de l utilisateur 1 esms Desktop Guide de l utilisateur 2 3 Table des matières Introduction... 3 Conditions... 3 Installation... 4 Créer un compte esms Desktop... 7 Envoyer un message SMS... 9 Décompte des caractères...10

Plus en détail

Ce document décrit la démarche à suivre pour installer les outils de développement et compiler le projet TANAGRA.

Ce document décrit la démarche à suivre pour installer les outils de développement et compiler le projet TANAGRA. Guide de compilation de TANAGRA Ce document décrit la démarche à suivre pour installer les outils de développement et compiler le projet TANAGRA. Remarque : La version de TANAGRA distribuée sur le site

Plus en détail

ESPACE COLLABORATIF SHAREPOINT

ESPACE COLLABORATIF SHAREPOINT Conseil de l Europe Service des Technologies de l Information ESPACE COLLABORATIF SHAREPOINT DOSSIER D UTILISATEUR 1/33 Sommaire 1. Présentation de SharePoint... 3 1.1. Connexion... 4 2. Les listes...

Plus en détail

ENDNOTE X2 SOMMAIRE. 1. La bibliothèque EndNote 1.1. Créer une nouvelle bibliothèque 1.2. Ouvrir une bibliothèque EndNote 1.3. Fermer une bibliothèque

ENDNOTE X2 SOMMAIRE. 1. La bibliothèque EndNote 1.1. Créer une nouvelle bibliothèque 1.2. Ouvrir une bibliothèque EndNote 1.3. Fermer une bibliothèque 1 ENDNOTE X2 SOMMAIRE 1. La bibliothèque EndNote 1.1. Créer une nouvelle bibliothèque 1.2. Ouvrir une bibliothèque EndNote 1.3. Fermer une bibliothèque 2. Manipuler une bibliothèque EndNote 2.1. La saisie

Plus en détail

Securexam Consignes pour l EFU Les 2, 3 et 4 juin 2015

Securexam Consignes pour l EFU Les 2, 3 et 4 juin 2015 Securexam Consignes pour l EFU Les 2, 3 et 4 juin 2015 ATTENTION : Consignes aux candidats qui doivent encrypter leur clé USB : Une fois votre ordinateur démarré, avant de lancer Securexam (CA), procédez

Plus en détail

Conférence Web sur demande de TELUS Guide de référence rapide

Conférence Web sur demande de TELUS Guide de référence rapide Conférence Web sur demande de TELUS Guide de référence rapide Aperçu Page 2 Modification des renseignements personnels Ouverture de session Optimisation de l espace de travail Modes Participants Fonctions

Plus en détail

WEB page builder and server for SCADA applications usable from a WEB navigator

WEB page builder and server for SCADA applications usable from a WEB navigator Générateur de pages WEB et serveur pour supervision accessible à partir d un navigateur WEB WEB page builder and server for SCADA applications usable from a WEB navigator opyright 2007 IRAI Manual Manuel

Plus en détail

Guide d installation logicielle

Guide d installation logicielle Guide d installation logicielle Drivers USB pour Windows XP/2000 X-Edit Editor/Librarian pour Windows XP/2000 Nous tenons à vous remercier d avoir choisi ce processeur DigiTech USB. Ce guide d installation

Plus en détail

Le langage C. Séance n 4

Le langage C. Séance n 4 Université Paris-Sud 11 Institut de Formation des Ingénieurs Remise à niveau INFORMATIQUE Année 2007-2008 Travaux pratiques d informatique Le langage C Séance n 4 But : Vous devez maîtriser à la fin de

Plus en détail

EPREUVE OPTIONNELLE d INFORMATIQUE CORRIGE

EPREUVE OPTIONNELLE d INFORMATIQUE CORRIGE EPREUVE OPTIONNELLE d INFORMATIQUE CORRIGE QCM Remarque : - A une question correspond au moins 1 réponse juste - Cocher la ou les bonnes réponses Barème : - Une bonne réponse = +1 - Pas de réponse = 0

Plus en détail

MICROINFORMATIQUE NOTE D APPLICATION 1 (REV. 2011) ARITHMETIQUE EN ASSEMBLEUR ET EN C

MICROINFORMATIQUE NOTE D APPLICATION 1 (REV. 2011) ARITHMETIQUE EN ASSEMBLEUR ET EN C Haute Ecole d Ingénierie et de Gestion Du Canton du Vaud MICROINFORMATIQUE NOTE D APPLICATION 1 (REV. 2011) ARITHMETIQUE EN ASSEMBLEUR ET EN C Programmation en mode simulation 1. DOCUMENTS DE RÉFÉRENCE...

Plus en détail

SOMMAIRE. Présentation assistée sur ordinateur. Collège F.Rabelais 1/10

SOMMAIRE. Présentation assistée sur ordinateur. Collège F.Rabelais 1/10 /0 SOMMAIRE. Lancer le logiciel. Mettre un titre dans une diapositive et du texte. Ajouter une diapositive 4. Créer une diapositive avec une image 5. Créer une diapositive avec un graphique 6. Créer une

Plus en détail

AVEC LIVE TRADER, VISEZ PLUS HAUT POUR VOS INVESTISSEMENTS

AVEC LIVE TRADER, VISEZ PLUS HAUT POUR VOS INVESTISSEMENTS AVEC LIVE TRADER, VISEZ PLUS HAUT POUR VOS INVESTISSEMENTS Prêt à maîtriser LIVE TRADER? Découvrez les principales fonctionnalités de cet outil. Découvrir l espace de travail Organiser les modules Rechercher

Plus en détail

Serveur d'application Client HTML/JS. Apache Thrift Bootcamp

Serveur d'application Client HTML/JS. Apache Thrift Bootcamp Serveur d'application Client HTML/JS Apache Thrift Bootcamp Pré-requis La liste ci-dessous de logiciels doit être installée et opérationnelle sur la machine des participants : Compilateur thrift http://thrift.apache.org/

Plus en détail

lundi 3 août 2009 Choose your language What is Document Connection for Mac? Communautés Numériques L informatique à la portée du Grand Public

lundi 3 août 2009 Choose your language What is Document Connection for Mac? Communautés Numériques L informatique à la portée du Grand Public Communautés Numériques L informatique à la portée du Grand Public Initiation et perfectionnement à l utilisation de la micro-informatique Microsoft Document Connection pour Mac. Microsoft Document Connection

Plus en détail

Samsung Auto Backup Guide de démarrage rapide

Samsung Auto Backup Guide de démarrage rapide Samsung Auto Backup Guide de démarrage rapide Ce document est conçu sous la forme d un guide de démarrage rapide. Pour des informations plus détaillées, veuillez-vous reporter au manuel de l utilisateur

Plus en détail

1-Introduction 2. 2-Installation de JBPM 3. 2-JBPM en action.7

1-Introduction 2. 2-Installation de JBPM 3. 2-JBPM en action.7 Sommaire 1-Introduction 2 1-1- BPM (Business Process Management)..2 1-2 J-Boss JBPM 2 2-Installation de JBPM 3 2-1 Architecture de JOBSS JBPM 3 2-2 Installation du moteur JBoss JBPM et le serveur d application

Plus en détail

JES Report Broker. Campus Technologies. SAE de CHALEMBERT 1 Rue Blaise PASCAL 86130 JAUNAY-CLAN 05 49 55 22 22 01 47 73 15 48 info@campustec.

JES Report Broker. Campus Technologies. SAE de CHALEMBERT 1 Rue Blaise PASCAL 86130 JAUNAY-CLAN 05 49 55 22 22 01 47 73 15 48 info@campustec. JES Report Broker Campus Technologies SAE de CHALEMBERT 1 Rue Blaise PASCAL 86130 JAUNAY-CLAN 05 49 55 22 22 01 47 73 15 48 info@campustec.com Campus Technologies 1/15 Jes Report Broker SOMMAIRE 1. GENERALITES...

Plus en détail

Introduction à la programmation Travaux pratiques: séance d introduction INFO0201-1

Introduction à la programmation Travaux pratiques: séance d introduction INFO0201-1 Introduction à la programmation Travaux pratiques: séance d introduction INFO0201-1 B. Baert & F. Ludewig Bruno.Baert@ulg.ac.be - F.Ludewig@ulg.ac.be Qu est-ce que la programmation? Programmer Ecrire un

Plus en détail

La base de données dans ArtemiS SUITE

La base de données dans ArtemiS SUITE 08/14 Vous préférez passer votre temps à analyser vos données plutôt qu à chercher un fichier? La base de données d ArtemiS SUITE vous permet d administrer et d organiser confortablement vos données et

Plus en détail

Quelques outils pour le logiciel «Tux Paint»

Quelques outils pour le logiciel «Tux Paint» Quelques outils pour le logiciel «Tux Paint» Version Window's 0.9.21 Télécharger la version gratuite : http://www.tux Paint.org/download/ Pascale-Dominique Chaillez Lynda O Connell Conseillères pédagogiques

Plus en détail

ÉCOLE POLYTECHNIQUE DE MONTRÉAL. Département de Génie Électrique. La technologie de TEXAS INSTRUMENTS DSP pour le cours Analyse des Signaux ELE2700

ÉCOLE POLYTECHNIQUE DE MONTRÉAL. Département de Génie Électrique. La technologie de TEXAS INSTRUMENTS DSP pour le cours Analyse des Signaux ELE2700 ÉCOLE POLYTECHNIQUE DE MONTRÉAL Département de Génie Électrique La technologie de TEXAS INSTRUMENTS DSP pour le cours Analyse des Signaux ELE2700 M. Corinthios et Zaher Dannawi 29 août 2007 2 Tables des

Plus en détail

Installer Enterprise Miner 5.1 en SAS9.1.3 - environnement Windows

Installer Enterprise Miner 5.1 en SAS9.1.3 - environnement Windows Installer Enterprise Miner 5.1 en SAS9.1.3 - environnement Windows Introduction :... 3 1. Présentation de l architecture Enterprise Miner 5.1 :... 4 2. Installation d Enterprise Miner 5.1:... 5 3. Post-installation

Plus en détail

Host Integration Server 2000

Host Integration Server 2000 Host Integration Server 2000 Comment communiquer entre Windows et Mainframe Dans le cadre d'un prochain article consacré à l'appel des composants COMTI depuis une page WEB (ASP3 et ASP.NET), nous verrons

Plus en détail

Guide d utilisation de PL7 Pro Récupérer ou transférer un programme

Guide d utilisation de PL7 Pro Récupérer ou transférer un programme Guide d utilisation de PL7 Pro Récupérer ou transférer un programme 1. Connecter le câble Connecter le câble à l ordinateur sur un port USB (utiliser toujours le même de préférence). Connecter ensuite

Plus en détail

Utilisation de GCM (Google Cloud Messaging) pour Android. Partie préliminaire éventuelle : Création d'un AVD lisant GCM

Utilisation de GCM (Google Cloud Messaging) pour Android. Partie préliminaire éventuelle : Création d'un AVD lisant GCM Utilisation de GCM (Google Cloud Messaging) pour Android Ce TP est inspiré de : http://android.amolgupta.in/2012/07/google-cloud-messaging-gcm-tutorial.html On va écrire deux parties. Une application Android

Plus en détail

Procédure d installation de la solution Central WiFI Manager CWM

Procédure d installation de la solution Central WiFI Manager CWM Procédure d installation de la solution Central WiFI Manager CWM Introduction : Central WiFi Manager est une solution serveur basée sur une interface web permettant la gestion centralisée de points d accès

Plus en détail

Mes documents Sauvegardés

Mes documents Sauvegardés Mes documents Sauvegardés Guide d installation et Manuel d utilisation du logiciel Edition 13.12 Photos et illustrations : Copyright 2013 NordNet S.A. Tous droits réservés. Toutes les marques commerciales

Plus en détail

Direction des Systèmes d'information

Direction des Systèmes d'information DEPLOIEMENT DU CLIENT SSL SSL VPN Direction des Systèmes d'information Auteur GDS Référence 2010-GDS-DPT Version Date /2010 Nb. Pages 8 Sujet Ce document décrit le déploiement du logiciel «SSL VPN Client»

Plus en détail

TwinCAT Backup. Mise en œuvre rapide

TwinCAT Backup. Mise en œuvre rapide TwinCAT Backup Mise en œuvre rapide VERSION : 1.0 / JYL DATE : 24 décembre 2008 Sommaire Contrairement aux systèmes traditionnels de backup basé sur la création d une image d un disque, TwinCAT Backup

Plus en détail

Découvrez Windows NetMeeting

Découvrez Windows NetMeeting Découvrez Windows NetMeeting Conférence Internet 2001 Université de Moncton. Tous droits réservés. Table des matières 1. Comment puis-je télécharger, installer et démarrer NetMeeting?... 3 2. Quelles sont

Plus en détail

PROCÉDURE D'INSTALLATION WINDOWS 7 (32 ou 64 bit)

PROCÉDURE D'INSTALLATION WINDOWS 7 (32 ou 64 bit) PROCÉDURE D'INSTALLATION WINDOWS 7 (32 ou 64 bit) DÉTERMINER VOTRE VERSION DE WINDOWS 7 1. Cliquez sur Démarrer puis sur Panneau de configuration 2. Cliquez sur Système et sécurité. 3. Sur la page Système

Plus en détail

OUTIL DE CRYPTAGE ET DE COMPRESSION

OUTIL DE CRYPTAGE ET DE COMPRESSION Programme de CRYPTAGE ET DE COMPRESSION «SECRETZIP» (Windows uniquement). Le programme est fourni sur la clé USB Flash. Veuillez consulter le manuel situé sur la clé USB Flash ou visiter le site integralmemory.com

Plus en détail

AUVRAY Clément (168187) HOMBERGER Alexandre (186897) GLADE. Langages, outils et méthodes pour la programmation avancée Page 1 sur 12

AUVRAY Clément (168187) HOMBERGER Alexandre (186897) GLADE. Langages, outils et méthodes pour la programmation avancée Page 1 sur 12 GTK+ GLADE Page 1 sur 12 SOMMAIRE INTRO 3 Présentation de GTK+ 3 Présentation de GLADE 3 GTK+ 4 Installation 4 Les Widgets 4 Le système de hiérarchie 5 Les signaux 6 GLADE 7 Installation 7 L interface

Plus en détail

Réglages du module de sauvegarde de Biblionet (Monoposte)

Réglages du module de sauvegarde de Biblionet (Monoposte) Réglages du module de sauvegarde de Biblionet (Monoposte) 1- Dans le menu fichier choisissez Préférences et par la suite l onglet Sauvegarde, la fenêtre suivante devrait apparaître: 2- Cliquez sur le bouton

Plus en détail

Utiliser Dev-C++ .1Installation de Dev-C++ Table des matières

Utiliser Dev-C++ .1Installation de Dev-C++ Table des matières Utiliser Dev-C++ Voici quelques explications sur l utilisation de Dev-C++, un excellent environnement de développement en C et C++ pour Windows qu on peut télécharger librement depuis le site www.bloodshed.net

Plus en détail

Initiation à Mendeley AUT2012

Initiation à Mendeley AUT2012 0. Trouver Mendeley... 2 1. Ouverture de l application Mendeley... 2 2. Saisie manuelle d une référence... 2 3. Inscrire une note liée à la référence... 2 4. Attacher un fichier à la référence... 2 5.

Plus en détail

Construire des plug-ins pour SAS Management Console SAS 9.1

Construire des plug-ins pour SAS Management Console SAS 9.1 Construire des plug-ins pour SAS Management Console SAS 9.1 Janvier 2005 Sommaire 1 INTRODUCTION... 3 1.1 OBJECTIFS... 3 1.2 PERIMETRE... 3 2 LES COMPOSANTS DE SAS MANAGEMENT CONSOLE... 4 3 LA CONSTRUCTION

Plus en détail

Logiciel : GLPI Version : 0.72.4 SYNCRHONISATION DE GLPI AVEC ACTIVE DIRECTORY. Auteur : Claude SANTERO Config. : Windows 2003.

Logiciel : GLPI Version : 0.72.4 SYNCRHONISATION DE GLPI AVEC ACTIVE DIRECTORY. Auteur : Claude SANTERO Config. : Windows 2003. Ce document est libre de droit, merci simplement de respecter son auteur. Toutes remarques ou commentaires seront les bienvenues. ATTENTION : La mise à jour par script entre GLPI et Active Directory ne

Plus en détail

Installation de Microsoft Office 2012-09-12 Version 2.1

Installation de Microsoft Office 2012-09-12 Version 2.1 Installation de Microsoft Office 2012-09-12 Version 2.1 TABLE DES MATIÈRES Installation de Microsoft Office... 2 Informations concernant la Pré-Installation... 2 Installation de Microsoft Office... 3 Guide

Plus en détail

Le Registre sous Windows 8 architecture, administration, script, réparation...

Le Registre sous Windows 8 architecture, administration, script, réparation... 234 Le Registre sous Windows 8 architecture, administration, script, réparation... dcliquez sur Affichage - Personnaliser afin d activer ou de désactiver certains éléments de votre Console. Voyons maintenant

Plus en détail

Fiche Mémo : Options d accessibilité sous Windows et Internet Explorer 5

Fiche Mémo : Options d accessibilité sous Windows et Internet Explorer 5 Fiche Mémo : Options d accessibilité sous Windows et Internet Explorer 5 I. Général II. Affichage : taille icônes et écran III. Le menu «Options d accessibilité» : contraste, curseur IV. Le clavier V.

Plus en détail

Antidote et vos logiciels

Antidote et vos logiciels Antidote et vos logiciels Antidote 8 v2 Mac OS X Antidote, c est un correcteur avancé, des dictionnaires et des guides linguistiques qui s ajoutent à vos logiciels pour vous aider à écrire en français.

Plus en détail

Menu Fédérateur. Procédure de réinstallation du logiciel EIC Menu Fédérateur d un ancien poste vers un nouveau poste

Menu Fédérateur. Procédure de réinstallation du logiciel EIC Menu Fédérateur d un ancien poste vers un nouveau poste Menu Fédérateur Procédure de réinstallation du logiciel EIC Menu Fédérateur d un ancien poste vers un nouveau poste Manipulations à réaliser sur le poste à désinstaller 1. Sauvegarde des données Dans le

Plus en détail

Q-Checker pour V6 Release 2.1

Q-Checker pour V6 Release 2.1 Q-Checker pour V6 Release 2.1 Guide d installation Erstellt am 2014-06-24 Conventions dans le manuel Marquages ATTENTION signale qu une action peut avoir des conséquences indésirables, tel que perte de

Plus en détail

Network Shutdown Module V3 Extension du Manuel Utilisateur pour architecture Virtualisée VMWare ESX Server

Network Shutdown Module V3 Extension du Manuel Utilisateur pour architecture Virtualisée VMWare ESX Server Network Shutdown Module V3 Extension du Manuel Utilisateur pour architecture Virtualisée VMWare ESX Server Machine virtuelle Machine virtuelle Machine virtuelle VMware ESX 3 Network Shutdown Module Network

Plus en détail

Récupérer les documents stockés sur l ENTG

Récupérer les documents stockés sur l ENTG Récupérer les documents stockés sur l ENTG Pour PC Le logiciel «BITKINEX» permet de récupérer les documents stockés dans l outil «Document» de l ENTG La récupération s effectue 3 étapes: le téléchargement

Plus en détail

INITIATION AU LOGICIEL SAS

INITIATION AU LOGICIEL SAS INITIATION AU LOGICIEL SAS (version 9.1.3 sous Windows) Hélène HAMISULTANE Bibliographie : Initiation au logiciel SAS(9) pour Windows, Coqué N. (juin 2006). www.agroparistech.fr/img/pdf/polysas.pdf SAS

Plus en détail

PROCÉDURE D AIDE AU PARAMÉTRAGE

PROCÉDURE D AIDE AU PARAMÉTRAGE PROCÉDURE D AIDE AU PARAMÉTRAGE SOMMAIRE Futur a fait évoluer son service de messagerie professionnel Futur Office. Le présent document va vous accompagner pas à pas vers la récupération de vos divers

Plus en détail

sommaire Archives... Archiver votre messagerie... Les notes... Les règles de messagerie... Les calendriers partagés... 15 Les listes de diffusions...

sommaire Archives... Archiver votre messagerie... Les notes... Les règles de messagerie... Les calendriers partagés... 15 Les listes de diffusions... sommaire Votre solution de messagerie Futur Office évolue. Pour préparer au mieux la migration qui aura lieu le week-end du 23-24 Juin, nous vous conseillons de réaliser les actions préalables décrites

Plus en détail

Qu est-ce que Student Advantage?

Qu est-ce que Student Advantage? Qu est-ce que Student Advantage? Pour les établissements ayant souscrit un contrat de licences en volume locatif EES ou OVS-ES Ayant acheté Office pour 100% de leur personnel enseignant et administratif

Plus en détail

Installation et paramétrage de Fedora dans VirtualBox.

Installation et paramétrage de Fedora dans VirtualBox. 1. Installation de Fedora: Installation et paramétrage de Fedora dans VirtualBox. Télécharger le fichier «Fedora 13.iso» sur votre Bureau. Ensuite ouvrez le logiciel VirtualBox et choisir la machine virtuelle

Plus en détail

Installer les Pilotes USB

Installer les Pilotes USB Installer les Pilotes USB Comment Installer les Pilotes USB 1 installation des pilotes USB Vous devez installer les Pilotes USB sur votre computer avant d installer les Outils de Synchronisation des Contacts

Plus en détail

Créer et partager des fichiers

Créer et partager des fichiers Créer et partager des fichiers Le rôle Services de fichiers... 246 Les autorisations de fichiers NTFS... 255 Recherche de comptes d utilisateurs et d ordinateurs dans Active Directory... 262 Délégation

Plus en détail

WinTask x64 Le Planificateur de tâches sous Windows 7 64 bits, Windows 8/8.1 64 bits, Windows 2008 R2 et Windows 2012 64 bits

WinTask x64 Le Planificateur de tâches sous Windows 7 64 bits, Windows 8/8.1 64 bits, Windows 2008 R2 et Windows 2012 64 bits WinTask x64 Le Planificateur de tâches sous Windows 7 64 bits, Windows 8/8.1 64 bits, Windows 2008 R2 et Windows 2012 64 bits Manuel d initiation du Planificateur 2 INTRODUCTION 5 CHAPITRE I : INSTALLATION

Plus en détail

LES ACCES DISTANTS ET SECURISES. Installation et utilisation du client. Cisco AnyConnect VPN Client. pour Windows

LES ACCES DISTANTS ET SECURISES. Installation et utilisation du client. Cisco AnyConnect VPN Client. pour Windows Service Informatique et Télématique (SITEL), Emile-Argand 11, 2007 Neuchâtel, Tél. +41 032 718 2000, hotline.sitel@unine.ch LES ACCES DISTANTS ET SECURISES Installation et utilisation du client Cisco AnyConnect

Plus en détail

Travaux pratiques. DECOUVERTE PDMLink - PTC

Travaux pratiques. DECOUVERTE PDMLink - PTC Travaux pratiques DECOUVERTE PDMLink - PTC Introduction au PLM L historique du PLM : Gestion des fichiers CAO Gestion de tout type de document (avec versionnement, nominatif ) Gestion du cycle de vie des

Plus en détail

Guide de démarrage rapide. (pour la version 5.0.)

Guide de démarrage rapide. (pour la version 5.0.) Guide de démarrage rapide (pour la version 5.0.) 2 Table des matières Introduction Réglages de l application MyTalk Mobile 1. MODIFICATION 2. DEMARRER 3. AFFICHER 4. SYNTHETISEUR VOCAL 5. NOMBRE DE MOTS

Plus en détail

Guide d utilisation 2012

Guide d utilisation 2012 Guide d utilisation 2012 Tout ce dont vous avez besoin pour bien démarrer REACOM La performance marketing SOMMAIRE Démarrez avec reagiciel Accédez à votre console d administration 4 Gestion internet Aperçu

Plus en détail

Corrigé de l'atelier pratique du module 3 : Récupération d'urgence

Corrigé de l'atelier pratique du module 3 : Récupération d'urgence Corrigé de l'atelier pratique du module 3 : Récupération d'urgence Table des matières Atelier pratique 3 : Récupération d'urgence 1 Exercice 1 : Implémentation d'une stratégie de sauvegarde 1 Exercice

Plus en détail

SQL Data Export for PS/PSS

SQL Data Export for PS/PSS Version 2.3.5 MANUEL D INSTRUCTIONS (M98232701-02-13B) CIRCUTOR, SA SOMMAIRE 1.- INSTALLATION DU LOGICIEL SQL DATA EXPORT... 3 1.1.- ACTIVER CONNEXIONS A DISTANCE DU SERVEUR SQL SERVER... 14 1.2.- DESINSTALLER

Plus en détail