Question 1 (3 pts, 10 min)

Dimension: px
Commencer à balayer dès la page:

Download "Question 1 (3 pts, 10 min)"

Transcription

1 ELE1300 Automne Examen final 1/15 Question 1 (3 pts, 10 min) Considérant le circuit suivant : Où Q1, Q2 et Q3 sont respectivement les sorties d une bistable D, d une bascule maître-esclave D et d une bascule D, compléter le chronogramme suivant :

2 ELE1300 Automne Examen final 2/15 Question 2 (5 pts, 15 min) On considère le circuit séquentiel suivant, dont les bits d états sont Q 1 et Q 0. On suppose que x ne change pas durant le même cycle d horloge (entrée synchrone). 1) Donner l expression booléenne des entrées des bascules (T 1 et T 0 ) en fonction de l entrée x et des bits d états Q 1 et Q 0. T 1 = x T 0 = Q 1 2) Donner l expression booléenne de la sortie en fonction de l entrée x et des bits d états Q 1 et Q 0. z = x Q 1 Q 2 3) En utilisant les résultats de (1), donner l expression des bits des états futurs (Q 1 + et Q 0 + ) en fonction de l entrée x et des bits d états Q 1 et Q 0. Q 1 + = Q 1 T 1 = Q 1 x Q 0 + = Q 0 T 0 = Q 0 Q 1 = Q 0 Q 1 4) À l aide des résultats obtenus, remplir la table des transitions et sorties suivante : État futur (Q + 1 Q + 0 ) z État actuel x x (Q 1 Q 0 )

3 ELE1300 Automne Examen final 3/15 5) Dessiner le diagramme d état de la machine considérée 6) Grâce aux résultats obtenus précédemment, répondre aux questions suivantes : i) Quelle est la séquence à l entrée qui permet, en partant de E 0, d obtenir le plus rapidement z = 1 à la sortie? x = 1 ; x = 1 ii) Donner une séquence de 4 entrées qui permet, en partant de E 0, d obtenir z = 1 à la sortie? x = 0 ; x = 0 ; x = 1 ; x = 1 x = 1 ; x = 0 ; x = 0 ; x = 1 iii) Quelle est la séquence d entrées qui permet, en partant de E 0, d obtenir deux z = 1 consécutifs? Impossible iv) Si la machine se trouve dans E 2 et que x prend consécutivement les valeurs 0 puis 1, dans quel état se trouve la machine au cycle suivant? E 0

4 ELE1300 Automne Examen final 4/15 Question 3 (6 pts, 25 min) Soit la table de vérité de la fonction logique F(A,B,C,D) : A B C D F ) Exprimer la fonction F sous la forme canonique disjonctive en incluant les minterms facultatifs (souligner les minterms facultatifs) : F(A,B,C,D) = A B C D+ A BCD+ A BCD+A B C D +AB C D +ABC D +ABCD 2) Retranscrire cette expression canonique disjonctive de F sous forme binaire (en vue d appliquer la méthode de Queen-McCluskey): F(A,B,C,D) =

5 ELE1300 Automne Examen final 5/15 3) Procéder par la méthode Quine-McCluskey pour simplifier la fonction F(A,B,C,D) 3.a) Identifier les impliquants premiers x01 x1x x x x x x 1111 Impliquants premiers sous forme binaire : 1000 ; 0x01 ; 111x ; x1x10 0 ; 0x01 ; 111x ; x1x1 3.b) Utiliser la table suivante pour identifier les impliquant essentiels de F(A,B,C,D) (*) 0x01 111x (*) * x1x1 (*) (*) * Impliquants essentiels : 1000 ; 111x ; x1x1x 1

6 ELE1300 Automne Examen final 6/15 4) Donner l expression simplifiée de la fonction F(A,B,C,D) F(A,B,C,D) = A B C D +ABC+BD 5) Confirmer votre résultat en utilisant une table de Karnaugh

7 ELE1300 Automne Examen final 7/15 Question 4 (6 pts, 20 min) Procéder par la méthode de Petrick pour trouver l expression simplifiée de la fonction F(A,B,C,D). Les impliquants premiers ont été trouvés par la méthode Quine-McCluskey : les mots binaires sont donnés dans l ordre d apparition des variables, soit A, B, C et D Ex : 1101 AB C D 1) Associer les minterms aux impliquants premiers en posant des astérisque (*) là où cela se doit x 1 1xxx * * * x 2 x1x0 * * x 3 xx1x * * * x 4 x0x1 * * 2) Donner l équation booléenne P(x 1, x 2, x 3, x 4 ) obtenue par la méthode de Petrick et la simplifier. P = (x 3 +x 4 )(x 2 +x 3 )(x 1 +x 4 )(x 1 +x 3 )(x 1 +x 2 ) = (x 3 +x 2 x 4 )(x 1 +x 3 x 4 )(x 1 +x 2 ) = (x 3 +x 2 x 4 )(x 1 +x 2 x 3 x 4 ) = x 1 x 3 +x 1 x 2 x 4 +x 2 x 3 x 4 3) Donner le coût associé à chaque solution obtenue par la méthode de Petrick. x 1 x 3 : 2+2 = 4 (ou 2+1 = 3) x 1 x 2 x 4 : (3+2)+2*(2+2) = 13 (ou (3+1)+2*(2+1) = 10) x 2 x 3 x 4 : (3+2)+2*(2+2) = 13 (ou (3+1)+2*(2+1) = 10) 4) À la lumière de ces résultats, donner l équation simplifiée de F(A,B,C,D). F(A,B,C,D) = A+C

8 ELE1300 Automne Examen final 8/15 Question 5 (6 pts, 25 minutes) Vous travaillez sur un projet de conception d une guirlande électrique constituée de 8 lampes (L0 à L7) et pilotée par un contrôleur numérique (une machine à états) qui fonctionne avec une horloge à 1 cycle/s. La partie de «traitement» est déjà réalisée. Elle est constituée d un compteur, d un démultiplexeur et de portes logiques qui permettent de réaliser les effets suivants : Éteindre les lampes Allumer une seule lampe Allumer toute les lampes Entrées? Partie de traitement In/De Contrôleur Count Mux Set In/De Q2 Q1 Q0 ena A2 A1 A0 ena set L7 L6 L5 L4 L3 L2 L1 L0 Clk Vous êtes responsable du circuit de contrôle qui vise à obtenir la séquence suivante en boucle continue : Allumer en séquence les lampes L0 à L7 (1 seconde par lampe). Éteindre toutes les lampes (1 seconde) Allumer toutes les lampes (1 seconde) Éteindre toutes les lampes (1 seconde) Allumer en séquence les lampes L7 à L0 (2 secondes par lampe) Éteindre toutes les lampes (2 secondes) Les sorties du contrôleur (et donc les entrées de la partie de traitement) sont les signaux : In/De ( 1 pour incrémenter, 0 pour décrémenter) Count ( 1 pour activer l incrémentation ou la décrémentation, 0 sinon) Mux ( 1 pour activer une sortie du démultiplexeur, 0 toutes les sorties à 0 ) Set ( 1 pour mettre toutes les sorties à 1, 0 pour recopier les entrées)

9 ELE1300 Automne Examen final 9/15 En utilisant judicieusement deux signaux parmi L0 à L7 comme entrées, il est possible de ne pas dépasser 8 états. On demande : 1) Déterminez les entrées que vous allez utiliser pour minimiser le nombre d états et comment vous allez les utiliser. Détaillez votre approche : L7 : pour détecter lorsque la séquence ascendante est terminée L0 : pour détecter lorsque la séquence descendante est terminée 2) Dessinez le graphe d états en considérant que vous réalisez une machine de Moore. N oubliez-pas d écrire la valeur de chaque sortie à l intérieur de chaque état. Les valeurs des sorties sont écrites dans l ordre In/De, Count, Mux, Set

10 ELE1300 Automne Examen final 10/15 Question 6 (2 pts, 5 minutes) Soit le graphe d états suivant d une machine de Mealy. Écrivez sa table de transition d états et sorties. État État suivant Sortie présent Entrée = 00 Entrée = 01 Entrée = 10 Entrée = 11 Entrée = 00 Entrée = 01 Entrée = 10 Entrée = 11 A B D C C B A C A C C C B C D D D D D D

11 ELE1300 Automne Examen final 11/15 Question 7 (6 pts, 20 minutes) Soit la table de transition d états et sorties suivante d une machine de Mealy. Étudiez la compatibilité entre les états et écrivez la nouvelle table simplifiée. État État suivant Sortie Présent Entrée = 0 Entrée = 1 Entrée = 0 Entrée = 1 A B D 1 0 B E G 0 1 C A F 0 1 D G B 0 1 E A F 0 1 F C A 0 1 G B C 1 0 B X C X X D X X (A,G) (B,F) E X X V (A,G) (B,F) F X (A,G) X X X G (C,D) X X X X X A B C D E F État État suivant Sortie Présent Entrée = 0 Entrée = 1 Entrée = 0 Entrée = 1 A B C 1 0 B C A 0 1 C A B 0 1

12 ELE1300 Automne Examen final 12/15 Question 8 (6 pts, 15 minutes) Soit la table de transition d états et sorties suivante d une machine de Mealy optimale dotée d une entrée h et d une sortie X. Cherchez son implémentation la moins couteuse en étudiant l utilisation de bascules D, T et JK. État État suivant Sortie prés. h=0 h=1 h=0 h= Q'1 Q'0 Q1Q Q1Q h= h= h= h= T1 T0 Q1Q Q1Q h= h= h= h= J1 J0 Q1Q Q1Q h=0 0 0 X X h=0 0 X X 1 h=1 1 1 X X h=1 0 X X 1 K1 K0 Q1Q Q1Q h=0 X X 0 0 h=0 X 0 0 X h=1 X X 1 1 h=1 X 1 1 X Dessinez-la ci-dessous : H INPUT VCC TFF PRN T Q Q1 CLRN CLK INPUT VCC JKFF PRN J Q K CLRN Q0 data1 data0 inst mux result sel OUTPUT Sortie

13 ELE1300 Automne Examen final 13/15 Question 9 : Récupération de la question 6 de l intra (10 minutes) Cette question est facultative. Si votre note pour cette question est supérieure à celle que vous aviez obtenue pour la question 6 de l examen intra, la différence vous sera comptée en bonus pour cet examen. Soit le circuit logique suivant : 1. Si vous percevez des simplifications graphiques évidentes, faites-les sur le schéma (On peut transformer le NAND avec entrées inversées en OR) 2. Exprimez la fonction X(A,B,C,D) sous sa forme algébrique à partir du schéma (éventuellement modifié) : X = /( /(A XOR (B XOR C) ) AND /( (B XOR C) OR D) ) 3. Étudiez X en remplissant sa table de vérité : A B C D T M N X Utilisez les colonnes vides au besoin pour calculer des signaux intermédiaires.

14 ELE1300 Automne Examen final 14/15 4. Calculez les formes conjonctives et disjonctives optimales au moyen des tables de Karnaugh AB\CD AB\CD X= A + D + B/C + /BC X= (A+B+C+D) (A+/B+/C+D) Forme disjonctive Forme conjonctive 5. Dessinez les circuits optimaux permettant d implémenter ces formes et calculez leurs coûts 6. Selon vous, quelle est la meilleure implémentation possible de cette fonction si on considère que le coût d une porte XOR ou XNOR de N entrées est 2N? Forme disjonctive : (4+1) + (2+1) + (2+1) = 11 Forme conjonctive : (2+1) + (4+1) + (4+1) = 13 Meilleur trouvé : (3+1) + (2x2) = 8 A B C D INPUT VCC INPUT VCC INPUT VCC INPUT VCC NOT inst10 NOT inst12 NOT inst11 NOT inst13 NAND4 NAND2 OUTPUT DISJONCTIVE inst21 inst16 NAND2 inst22 NOR4 inst18 NOR2 OUTPUT CONJONCTIVE NOR4 inst17 inst19 NAND3 OUTPUT BEST XNOR inst25 inst26

15 ELE1300 Automne Examen final 15/15 Question 10 : Bonus Cette question est également facultative car elle fait appel à des concepts qui n ont pas été étudiés explicitement au cours. Toutefois, avec un peu de logique, vous pourriez être capable d y répondre et d améliorer votre note finale. Le circuit suivant est un circuit séquentiel asynchrone se comportant comme une machine à états à deux entrées (x et y) et une sortie (z). Donnez le diagramme d états associé et expliquez à quoi peut servir ce circuit. Indice : le bit d état est sur le chemin de rétroaction. C est en fait une bistable SR (yx)

IFT1215 Introduction aux systèmes informatiques

IFT1215 Introduction aux systèmes informatiques Introduction aux circuits logiques de base IFT25 Architecture en couches Niveau 5 Niveau 4 Niveau 3 Niveau 2 Niveau Niveau Couche des langages d application Traduction (compilateur) Couche du langage d

Plus en détail

Algèbre binaire et Circuits logiques (2007-2008)

Algèbre binaire et Circuits logiques (2007-2008) Université Mohammed V Faculté des Sciences Département de Mathématiques et Informatique Filière : SMI Algèbre binaire et Circuits logiques (27-28) Prof. Abdelhakim El Imrani Plan. Algèbre de Boole 2. Circuits

Plus en détail

Architecture des ordinateurs TD1 - Portes logiques et premiers circuits

Architecture des ordinateurs TD1 - Portes logiques et premiers circuits Architecture des ordinateurs TD1 - Portes logiques et premiers circuits 1 Rappel : un peu de logique Exercice 1.1 Remplir la table de vérité suivante : a b a + b ab a + b ab a b 0 0 0 1 1 0 1 1 Exercice

Plus en détail

Système binaire. Algèbre booléenne

Système binaire. Algèbre booléenne Algèbre booléenne Système binaire Système digital qui emploie des signaux à deux valeurs uniques En général, les digits employés sont 0 et 1, qu'on appelle bits (binary digits) Avantages: on peut utiliser

Plus en détail

2.4 Représentation graphique, tableau de Karnaugh

2.4 Représentation graphique, tableau de Karnaugh 2 Fonctions binaires 45 2.4 Représentation graphique, tableau de Karnaugh On peut définir complètement une fonction binaire en dressant son tableau de Karnaugh, table de vérité à 2 n cases pour n variables

Plus en détail

FONCTION COMPTAGE BINAIRE ET DIVISION DE FRÉQUENCE

FONCTION COMPTAGE BINAIRE ET DIVISION DE FRÉQUENCE I/ GÉNÉRALITÉS I.1/ Fonction Un compteur binaire est utilisé : -pour compter un certain nombre d'évènements binaires -pour diviser la fréquence d'un signal logique par 2 m Page 1 FONCTION COMPTAGE BINAIRE

Plus en détail

Logique séquentielle

Logique séquentielle Bascules et logique séquentielle aniel Etiemble de@lri.fr Logique séquentielle Logique séquentielle Le système a des «états» ans un système séquentiel Éléments de mémorisation Les sorties dépendent des

Plus en détail

VIII- Circuits séquentiels. Mémoires

VIII- Circuits séquentiels. Mémoires 1 VIII- Circuits séquentiels. Mémoires Maintenant le temps va intervenir. Nous avions déjà indiqué que la traversée d une porte ne se faisait pas instantanément et qu il fallait en tenir compte, notamment

Plus en détail

Les fonctions logiques

Les fonctions logiques 1 Les fonctions logiques Le fonctionnement des ordinateurs tout comme d autres appareils électroniques repose sur l emploi des circuits électroniques de logique binaire ou électronique numérique. Dans

Plus en détail

Les portes logiques. Voici les symboles des trois fonctions de base. Portes AND. Portes OR. Porte NOT

Les portes logiques. Voici les symboles des trois fonctions de base. Portes AND. Portes OR. Porte NOT Les portes logiques Nous avons jusqu ici utilisé des boutons poussoirs et une lampe pour illustrer le fonctionnement des opérateurs logiques. En électronique digitale, les opérations logiques sont effectuées

Plus en détail

Logique binaire. Aujourd'hui, l'algèbre de Boole trouve de nombreuses applications en informatique et dans la conception des circuits électroniques.

Logique binaire. Aujourd'hui, l'algèbre de Boole trouve de nombreuses applications en informatique et dans la conception des circuits électroniques. Logique binaire I. L'algèbre de Boole L'algèbre de Boole est la partie des mathématiques, de la logique et de l'électronique qui s'intéresse aux opérations et aux fonctions sur les variables logiques.

Plus en détail

Concevoir son microprocesseur

Concevoir son microprocesseur Concevoir son microprocesseur structure des systèmes logiques Jean-Christophe Buisson Collection Technosup Ellipses Avant-propos Ce livre s adresse aux étudiants en informatique de licence et maîtrise,

Plus en détail

Cours Premier semestre

Cours Premier semestre C.Belleudy, D.Gaffé Université de Nice-Sophia Antipolis DEUG Première année SM,MP,MI UECS EEA Électronique Numérique Cours Premier semestre C. Belleudy, D.Gaffé version 3. 2 Électronique Numérique Chapitre

Plus en détail

Modules d automatismes simples

Modules d automatismes simples Modules d automatismes simples Solutions pour automatiser Modules d'automatismes Enfin, vraiment simple! Un concentré de solution Pour vos petites applications d'automatismes millenium gère : Temporisations

Plus en détail

MPI Activité.10 : Logique binaire Portes logiques

MPI Activité.10 : Logique binaire Portes logiques MPI Activité.10 : Logique binaire Portes logiques I. Introduction De nombreux domaines font appel aux circuits logiques de commutation : non seulement l'informatique, mais aussi les technologies de l'asservissement

Plus en détail

QUESTION 1 {2 points}

QUESTION 1 {2 points} ELE4301 Systèmes logiques II Page 1 de 8 QUESTION 1 {2 points} En se servant de paramètres électriques donnés dans le Tableau 1 ci-dessous, on désire déterminer la fréquence d opération du compteur présenté

Plus en détail

RESUME DE COURS ET CAHIER D'EXERCICES

RESUME DE COURS ET CAHIER D'EXERCICES ARCITECTURE INFO-UP REUME DE COUR ET CAIER D'EXERCICE EPITA F. GABON Architecture EPITA INFO-UP F. Gabon COUR LIVRE D ARCITECTURE 3 REUME D'ELECTRONIUE LOGIUE 4 YTEME DE NUMERATION 6 ALGEBRE DE BOOLE 6

Plus en détail

BCI - TPSP - Processeurs et Architectures Numériques

BCI - TPSP - Processeurs et Architectures Numériques BCI - TPSP - Processeurs et Architectures Numériques Jean-Luc Danger Guillaume Duc Tarik Graba Philippe Matherat Yves Mathieu Lirida Naviner Alexis Polti Jean Provost c 2002-2011 groupe SEN, Télécom ParisTech

Plus en détail

Manipulations du laboratoire

Manipulations du laboratoire Manipulations du laboratoire 1 Matériel Les manipulations de ce laboratoire sont réalisées sur une carte électronique comprenant un compteur 4-bit asynchrone (74LS93) avec possibilité de déclenchement

Plus en détail

Arithmétique binaire. Chapitre. 5.1 Notions. 5.1.1 Bit. 5.1.2 Mot

Arithmétique binaire. Chapitre. 5.1 Notions. 5.1.1 Bit. 5.1.2 Mot Chapitre 5 Arithmétique binaire L es codes sont manipulés au quotidien sans qu on s en rende compte, et leur compréhension est quasi instinctive. Le seul fait de lire fait appel au codage alphabétique,

Plus en détail

Systemesdigitaux. Cours 5

Systemesdigitaux. Cours 5 Systemesdigitaux Cours 5 Au derniercours On a vu des blocs classiques plus sophistiques: Additionneur Soustracteur Multiplicateur Comparateur On a vu les architectures et comment les concevoir Aujourd

Plus en détail

AC AB. A B C x 1. x + 1. d où. Avec un calcul vu au lycée, on démontre que cette solution admet deux solutions dont une seule nous intéresse : x =

AC AB. A B C x 1. x + 1. d où. Avec un calcul vu au lycée, on démontre que cette solution admet deux solutions dont une seule nous intéresse : x = LE NOMBRE D OR Présentation et calcul du nombre d or Euclide avait trouvé un moyen de partager en deu un segment selon en «etrême et moyenne raison» Soit un segment [AB]. Le partage d Euclide consiste

Plus en détail

Les droites (d 1 ) et (d 2 ) sont sécantes en A Le point A est le point d intersection des 2 droites

Les droites (d 1 ) et (d 2 ) sont sécantes en A Le point A est le point d intersection des 2 droites I Droites perpendiculaires Lorsque deux droites se coupent, on dit qu elles sont sécantes Les droites (d 1 ) et (d 2 ) sont sécantes en A Le point A est le point d intersection des 2 droites Lorsque deux

Plus en détail

DE L ALGORITHME AU PROGRAMME INTRO AU LANGAGE C 51

DE L ALGORITHME AU PROGRAMME INTRO AU LANGAGE C 51 DE L ALGORITHME AU PROGRAMME INTRO AU LANGAGE C 51 PLAN DU COURS Introduction au langage C Notions de compilation Variables, types, constantes, tableaux, opérateurs Entrées sorties de base Structures de

Plus en détail

Les liaisons SPI et I2C

Les liaisons SPI et I2C DAMÉCOURT BENJAMIN AVRIL 28 Liaisons synchrones Les liaisons SPI et I2C Face arrière d un imac : trois ports USB, un port Firewire 4 et un port Firewire 8 CHRONOLOGIE ANNÉES 7 La liaison SPI et la création

Plus en détail

ARDUINO DOSSIER RESSOURCE POUR LA CLASSE

ARDUINO DOSSIER RESSOURCE POUR LA CLASSE ARDUINO DOSSIER RESSOURCE POUR LA CLASSE Sommaire 1. Présentation 2. Exemple d apprentissage 3. Lexique de termes anglais 4. Reconnaître les composants 5. Rendre Arduino autonome 6. Les signaux d entrée

Plus en détail

SIN-FPGA DESCRIPTION PAR SCHEMA

SIN-FPGA DESCRIPTION PAR SCHEMA SIN-FPGA DESCRIPTION PAR SCHEMA Documents ressources: http://www.altera.com/literature/lit-index.html Introduction to Quartus II : intro_to_quartus2.pdf Documentation QUARTUS II : quartusii_handbook.pdf

Plus en détail

Informatique Générale

Informatique Générale Informatique Générale Guillaume Hutzler Laboratoire IBISC (Informatique Biologie Intégrative et Systèmes Complexes) guillaume.hutzler@ibisc.univ-evry.fr Cours Dokeos 625 http://www.ens.univ-evry.fr/modx/dokeos.html

Plus en détail

Baccalauréat ES Polynésie (spécialité) 10 septembre 2014 Corrigé

Baccalauréat ES Polynésie (spécialité) 10 septembre 2014 Corrigé Baccalauréat ES Polynésie (spécialité) 10 septembre 2014 Corrigé A. P. M. E. P. Exercice 1 5 points 1. Réponse d. : 1 e Le coefficient directeur de la tangente est négatif et n est manifestement pas 2e

Plus en détail

1S Modèles de rédaction Enoncés

1S Modèles de rédaction Enoncés Par l équipe des professeurs de 1S du lycée Parc de Vilgénis 1S Modèles de rédaction Enoncés Produit scalaire & Corrigés Exercice 1 : définition du produit scalaire Soit ABC un triangle tel que AB, AC

Plus en détail

- Instrumentation numérique -

- Instrumentation numérique - - Instrumentation numérique - I.Présentation du signal numérique. I.1. Définition des différents types de signaux. Signal analogique: Un signal analogique a son amplitude qui varie de façon continue au

Plus en détail

Cours d Informatique

Cours d Informatique Cours d Informatique 1ère année SM/SMI 2007/2008, Info 2 Département de Mathématiques et d Informatique, Université Mohammed V elbenani@hotmail.com sayah@fsr.ac.ma 2007/2008 Info2, 1ère année SM/SMI 1

Plus en détail

Calcul matriciel. Définition 1 Une matrice de format (m,n) est un tableau rectangulaire de mn éléments, rangés en m lignes et n colonnes.

Calcul matriciel. Définition 1 Une matrice de format (m,n) est un tableau rectangulaire de mn éléments, rangés en m lignes et n colonnes. 1 Définitions, notations Calcul matriciel Définition 1 Une matrice de format (m,n) est un tableau rectangulaire de mn éléments, rangés en m lignes et n colonnes. On utilise aussi la notation m n pour le

Plus en détail

3 ème 2 DÉVELOPPEMENT FACTORISATIONS ET IDENTITÉS REMARQUABLES 1/5 1 - Développements

3 ème 2 DÉVELOPPEMENT FACTORISATIONS ET IDENTITÉS REMARQUABLES 1/5 1 - Développements 3 ème 2 DÉVELOPPEMENT FACTORISATIONS ET IDENTITÉS REMARQUABLES 1/5 1 - Développements Développer une expression consiste à transformer un produit en une somme Qu est-ce qu une somme? Qu est-ce qu un produit?

Plus en détail

Le Millenium 3 pour les nuls!! Phase 2 : Les blocs fonction

Le Millenium 3 pour les nuls!! Phase 2 : Les blocs fonction Le Millenium 3 pour les nuls!! Phase 2 : Les blocs fonction Document rédigé par Pascal Bigot pour le site et le forum APPER Introduction : Le premier tutoriel vous a normalement permis de prendre en main

Plus en détail

ELP 304 : Électronique Numérique. Cours 1 Introduction

ELP 304 : Électronique Numérique. Cours 1 Introduction ELP 304 : Électronique Numérique Cours 1 Introduction Catherine Douillard Dépt Électronique Les systèmes numériques : généralités (I) En électronique numérique, le codage des informations utilise deux

Plus en détail

Exercice 6 Associer chaque expression de gauche à sa forme réduite (à droite) :

Exercice 6 Associer chaque expression de gauche à sa forme réduite (à droite) : Eercice a Développer les epressions suivantes : A-(-) - + B-0(3 ²+3-0) -0 3²+-0 3+00 B -30²-30+00 C-3(-) -3 + 3-3²+6 D-(-) + ² Eerciceb Parmi les epressions suivantes, lesquelles sont sous forme réduite?

Plus en détail

Transmissions série et parallèle

Transmissions série et parallèle 1. Introduction : Un signal numérique transmet généralement plusieurs digits binaires. Exemple : 01000001 ( huit bits). Dans une transmission numérique on peut envisager deux modes : les envoyer tous en

Plus en détail

Mathématiques I Section Architecture, EPFL

Mathématiques I Section Architecture, EPFL Examen, semestre d hiver 2011 2012 Mathématiques I Section Architecture, EPFL Chargé de cours: Gavin Seal Instructions: Mettez votre nom et votre numéro Sciper sur chaque page de l examen. Faites de même

Plus en détail

Encoder 1.60. Encoder 1 sur 15. Codification fil par étage 15 étages max. + 2 flèches + signal de mouvement. Raccordements 0.1 mm²...

Encoder 1.60. Encoder 1 sur 15. Codification fil par étage 15 étages max. + 2 flèches + signal de mouvement. Raccordements 0.1 mm²... Displays ACCESSOIRES AFFICHEURS Encoder Encoder 1 sur 1 Le dispositif Encoder est nécessaire pour une codification 1 fil par étage avec des afficheurs conçus pour code binaire. Le dispositif Encoder convertit

Plus en détail

Electricité : caractéristiques et point de fonctionnement d un circuit

Electricité : caractéristiques et point de fonctionnement d un circuit Electricité : caractéristiques et point de fonctionnement d un circuit ENONCE : Une lampe à incandescence de 6 V 0,1 A est branchée aux bornes d une pile de force électromotrice E = 6 V et de résistance

Plus en détail

Initiation à LabView : Les exemples d applications :

Initiation à LabView : Les exemples d applications : Initiation à LabView : Les exemples d applications : c) Type de variables : Créer un programme : Exemple 1 : Calcul de c= 2(a+b)(a-3b) ou a, b et c seront des réels. «Exemple1» nom du programme : «Exemple

Plus en détail

ISC21-1 --- Système d Information Architecture et Administration d un SGBD Compléments SQL

ISC21-1 --- Système d Information Architecture et Administration d un SGBD Compléments SQL ISC21-1 --- Système d Information Architecture et Administration d un SGBD Compléments SQL Jean-Marie Pécatte jean-marie.pecatte@iut-tlse3.fr 16 novembre 2006 ISIS - Jean-Marie PECATTE 1 Valeur de clé

Plus en détail

Etude d Algorithmes Parallèles de Data Mining

Etude d Algorithmes Parallèles de Data Mining REPUBLIQUE TUNISIENNE MINISTERE DE L ENSEIGNEMENT SUPERIEUR, DE LA TECHNOLOGIE ET DE LA RECHERCHE SCIENTIFIQUE UNIVERSITE DE TUNIS ELMANAR FACULTE DES SCIENCES DE TUNIS DEPARTEMENT DES SCIENCES DE L INFORMATIQUE

Plus en détail

GPA770 Microélectronique appliquée Exercices série A

GPA770 Microélectronique appliquée Exercices série A GPA770 Microélectronique appliquée Exercices série A 1. Effectuez les calculs suivants sur des nombres binaires en complément à avec une représentation de 8 bits. Est-ce qu il y a débordement en complément

Plus en détail

INTRODUCTION AUX SYSTEMES D EXPLOITATION. TD2 Exclusion mutuelle / Sémaphores

INTRODUCTION AUX SYSTEMES D EXPLOITATION. TD2 Exclusion mutuelle / Sémaphores INTRODUCTION AUX SYSTEMES D EXPLOITATION TD2 Exclusion mutuelle / Sémaphores Exclusion mutuelle / Sémaphores - 0.1 - S O M M A I R E 1. GENERALITES SUR LES SEMAPHORES... 1 1.1. PRESENTATION... 1 1.2. UN

Plus en détail

L ALGORITHMIQUE. Algorithme

L ALGORITHMIQUE. Algorithme L ALGORITHMIQUE Inspirée par l informatique, cette démarche permet de résoudre beaucoup de problèmes. Quelques algorithmes ont été vus en 3 ième et cette année, au cours de leçons, nous verrons quelques

Plus en détail

Cours d Analyse. Fonctions de plusieurs variables

Cours d Analyse. Fonctions de plusieurs variables Cours d Analyse Fonctions de plusieurs variables Licence 1ère année 2007/2008 Nicolas Prioux Université de Marne-la-Vallée Table des matières 1 Notions de géométrie dans l espace et fonctions à deux variables........

Plus en détail

Architecture : Circuits numériques et éléments d architecture

Architecture : Circuits numériques et éléments d architecture Ecole Nationale Supérieure d Informatique et de Mathématiques Appliquées Architecture : Circuits numériques et éléments d architecture 1 ère année Année scolaire 2014 2015 Consignes Les exercices de ce

Plus en détail

Programmation linéaire

Programmation linéaire 1 Programmation linéaire 1. Le problème, un exemple. 2. Le cas b = 0 3. Théorème de dualité 4. L algorithme du simplexe 5. Problèmes équivalents 6. Complexité de l Algorithme 2 Position du problème Soit

Plus en détail

TD Architecture des ordinateurs. Jean-Luc Dekeyser

TD Architecture des ordinateurs. Jean-Luc Dekeyser TD Architecture des ordinateurs Jean-Luc Dekeyser Fiche 1 Nombres de l informatique Exercice 1 Une entreprise désire réaliser la sauvegarde de ses données sur un site distant. Le volume de données à sauvegarder

Plus en détail

Projet # 3 Serrure à deux clés

Projet # 3 Serrure à deux clés Département d électronique industrielle Projet # 3 Serrure à deux clés Semaines 8 et 9, 10 Session 1 Circuits logiques 243-206-RA Automne 2010 Tables des matières 1 OBJECTIFS DE L ACTIVITÉ... 3 1.1 COMPÉTENCES

Plus en détail

IV- Comment fonctionne un ordinateur?

IV- Comment fonctionne un ordinateur? 1 IV- Comment fonctionne un ordinateur? L ordinateur est une alliance du hardware (le matériel) et du software (les logiciels). Jusqu à présent, nous avons surtout vu l aspect «matériel», avec les interactions

Plus en détail

L exclusion mutuelle distribuée

L exclusion mutuelle distribuée L exclusion mutuelle distribuée L algorithme de L Amport L algorithme est basé sur 2 concepts : L estampillage des messages La distribution d une file d attente sur l ensemble des sites du système distribué

Plus en détail

Qualité du logiciel: Méthodes de test

Qualité du logiciel: Méthodes de test Qualité du logiciel: Méthodes de test Matthieu Amiguet 2004 2005 Analyse statique de code Analyse statique de code Étudier le programme source sans exécution Généralement réalisée avant les tests d exécution

Plus en détail

SYSTEME DE TELESURVEILLANCE VIDEO

SYSTEME DE TELESURVEILLANCE VIDEO SYSTEME DE TELESURVEILLANCE VIDEO Avril - Juin 98 Résumé des auteurs : Auteurs : Bertrand LASSERRE Responsables : P. KADIONIK Y. BERTHOUMIEU Nombre de pages : 68 Nombre de pages «Annexe» : 0 Remerciements

Plus en détail

TP Bases de données réparties

TP Bases de données réparties page 1 TP Bases de données réparties requêtes réparties Version corrigée Auteur : Hubert Naacke, révision 5 mars 2003 Mots-clés: bases de données réparties, fragmentation, schéma de placement, lien, jointure

Plus en détail

Initiation à la programmation en Python

Initiation à la programmation en Python I-Conventions Initiation à la programmation en Python Nom : Prénom : Une commande Python sera écrite en caractère gras. Exemples : print 'Bonjour' max=input("nombre maximum autorisé :") Le résultat de

Plus en détail

Microprocesseur + Logiciel

Microprocesseur + Logiciel Microprocesseur + Logiciel Robot EVALBOT MOHAMED AKIL BUREAU 5253 UNITE IGI 1001 PROGRAMMATION DES MICROPROCESSEURS Présentation [IGI1001] CONTEXTE SCIENTIFIQUE... 4 1. OBJECTIFS DE L UNITE... 6 2. OBJECTIFS

Plus en détail

CHAPITRE VIII : Les circuits avec résistances ohmiques

CHAPITRE VIII : Les circuits avec résistances ohmiques CHAPITRE VIII : Les circuits avec résistances ohmiques VIII. 1 Ce chapitre porte sur les courants et les différences de potentiel dans les circuits. VIII.1 : Les résistances en série et en parallèle On

Plus en détail

COMMANDER la puissance par MODULATION COMMUNIQUER

COMMANDER la puissance par MODULATION COMMUNIQUER SERIE 4 MODULER - COMMUNIQUER Fonctions du programme abordées : COMMANDER la puissance par MODULATION COMMUNIQUER Objectifs : Réaliser le câblage d un modulateur d après le schéma de puissance et de commande,

Plus en détail

Cours d algorithmique pour la classe de 2nde

Cours d algorithmique pour la classe de 2nde Cours d algorithmique pour la classe de 2nde F.Gaudon 10 août 2009 Table des matières 1 Avant la programmation 2 1.1 Qu est ce qu un algorithme?................................. 2 1.2 Qu est ce qu un langage

Plus en détail

Exercices du Cours de la programmation linéaire donné par le Dr. Ali DERBALA

Exercices du Cours de la programmation linéaire donné par le Dr. Ali DERBALA 75. Un plombier connaît la disposition de trois tuyaux sous des dalles ( voir figure ci dessous ) et il lui suffit de découvrir une partie de chacun d eux pour pouvoir y poser les robinets. Il cherche

Plus en détail

1/24. I passer d un problème exprimé en français à la réalisation d un. I expressions arithmétiques. I structures de contrôle (tests, boucles)

1/24. I passer d un problème exprimé en français à la réalisation d un. I expressions arithmétiques. I structures de contrôle (tests, boucles) 1/4 Objectif de ce cours /4 Objectifs de ce cours Introduction au langage C - Cours Girardot/Roelens Septembre 013 Du problème au programme I passer d un problème exprimé en français à la réalisation d

Plus en détail

Recueil d'exercices de logique séquentielle

Recueil d'exercices de logique séquentielle Recueil d'exercices de logique séquenielle Les bascules: / : Bascule JK Bascule D. Expliquez commen on peu modifier une bascule JK pour obenir une bascule D. 2/ Eude d un circui D Q Q Sorie A l aide d

Plus en détail

CONFIGURATION DE L AUTOMATE SIEMENS

CONFIGURATION DE L AUTOMATE SIEMENS CONFIGURATION DE L AUTOMATE SIEMENS Créer un projet Dans le bureau de Windows, double-cliquer sur l icône «SIMATIC Manager» : Cliquer ensuite sur l icône «nouveau» : Choisir un nom de projet et valider

Plus en détail

1. Structure d un programme C. 2. Commentaire: /*..texte */ On utilise aussi le commentaire du C++ qui est valable pour C: 3.

1. Structure d un programme C. 2. Commentaire: /*..texte */ On utilise aussi le commentaire du C++ qui est valable pour C: 3. 1. Structure d un programme C Un programme est un ensemble de fonctions. La fonction "main" constitue le point d entrée pour l exécution. Un exemple simple : #include int main() { printf ( this

Plus en détail

Introduction à MATLAB R

Introduction à MATLAB R Introduction à MATLAB R Romain Tavenard 10 septembre 2009 MATLAB R est un environnement de calcul numérique propriétaire orienté vers le calcul matriciel. Il se compose d un langage de programmation, d

Plus en détail

I- Définitions des signaux.

I- Définitions des signaux. 101011011100 010110101010 101110101101 100101010101 Du compact-disc, au DVD, en passant par l appareil photo numérique, le scanner, et télévision numérique, le numérique a fait une entrée progressive mais

Plus en détail

SYSTEME DE PALPAGE A TRANSMISSION RADIO ETUDE DU RECEPTEUR (MI16) DOSSIER DE PRESENTATION. Contenu du dossier :

SYSTEME DE PALPAGE A TRANSMISSION RADIO ETUDE DU RECEPTEUR (MI16) DOSSIER DE PRESENTATION. Contenu du dossier : SYSTEME DE PALPAGE A TRANSMISSION RADIO ETUDE DU RECEPTEUR (MI16) DOSSIER DE PRESENTATION Contenu du dossier : 1. PRESENTATION DU SYSTEME DE PALPAGE A TRANSMISSION RADIO....1 1.1. DESCRIPTION DU FABRICANT....1

Plus en détail

Conception de circuits numériques et architecture des ordinateurs

Conception de circuits numériques et architecture des ordinateurs Conception de circuits numériques et architecture des ordinateurs Frédéric Pétrot Année universitaire 2014-2015 Structure du cours C1 C2 C3 C4 C5 C6 C7 C8 C9 C10 C11 Codage des nombres en base 2, logique

Plus en détail

Conception de circuits numériques et architecture des ordinateurs

Conception de circuits numériques et architecture des ordinateurs Conception de circuits numériques et architecture des ordinateurs Frédéric Pétrot et Sébastien Viardot Année universitaire 2011-2012 Structure du cours C1 C2 C3 C4 C5 C6 C7 C8 C9 C10 C11 C12 Codage des

Plus en détail

Modèles à Événements Discrets. Réseaux de Petri Stochastiques

Modèles à Événements Discrets. Réseaux de Petri Stochastiques Modèles à Événements Discrets Réseaux de Petri Stochastiques Table des matières 1 Chaînes de Markov Définition formelle Idée générale Discrete Time Markov Chains Continuous Time Markov Chains Propriétés

Plus en détail

Priorités de calcul :

Priorités de calcul : EXERCICES DE REVISION POUR LE PASSAGE EN QUATRIEME : Priorités de calcul : Exercice 1 : Calcule en détaillant : A = 4 + 5 6 + 7 B = 6 3 + 5 C = 35 5 3 D = 6 7 + 8 E = 38 6 3 + 7 Exercice : Calcule en détaillant

Plus en détail

ASR1 TD7 : Un microprocesseur RISC 16 bits

ASR1 TD7 : Un microprocesseur RISC 16 bits {Â Ö Ñ º ØÖ Ý,È ØÖ ºÄÓ Ù,Æ ÓÐ ºÎ ÝÖ Ø¹ ÖÚ ÐÐÓÒ} Ò ¹ÐÝÓÒº Ö ØØÔ»»Ô Ö Óº Ò ¹ÐÝÓÒº Ö» Ö Ñ º ØÖ Ý»¼ Ö½» ASR1 TD7 : Un microprocesseur RISC 16 bits 13, 20 et 27 novembre 2006 Présentation générale On choisit

Plus en détail

DU BINAIRE AU MICROPROCESSEUR - D ANGELIS CIRCUITS CONFIGURABLES NOTION DE PROGRAMMATION

DU BINAIRE AU MICROPROCESSEUR - D ANGELIS CIRCUITS CONFIGURABLES NOTION DE PROGRAMMATION 145 NOTION DE PROGRAMMATION 1/ Complétons notre microprocesseur Nous avons, dans les leçons précédentes décrit un microprocesseur théorique, cependant il s inspire du 6800, premier microprocesseur conçu

Plus en détail

Introduction à l architecture des ordinateurs. Adrien Lebre Décembre 2007

Introduction à l architecture des ordinateurs. Adrien Lebre Décembre 2007 Introduction à l architecture des ordinateurs Adrien Lebre Décembre 2007 Plan - partie 1 Vue d ensemble La carte mère Le processeur La mémoire principal Notion de bus Introduction à l architecture des

Plus en détail

DM 1 : Montre Autoquartz ETA

DM 1 : Montre Autoquartz ETA Lycée Masséna DM 1 : Montre Autoquartz ETA 1 Présentation de la montre L essor de l électronique nomade s accompagne d un besoin accru de sources d énergies miniaturisées. Les contraintes imposées à ces

Plus en détail

1 Recherche en table par balayage

1 Recherche en table par balayage 1 Recherche en table par balayage 1.1 Problème de la recherche en table Une table désigne une liste ou un tableau d éléments. Le problème de la recherche en table est celui de la recherche d un élément

Plus en détail

Chapitre 5 : Flot maximal dans un graphe

Chapitre 5 : Flot maximal dans un graphe Graphes et RO TELECOM Nancy A Chapitre 5 : Flot maximal dans un graphe J.-F. Scheid 1 Plan du chapitre I. Définitions 1 Graphe Graphe valué 3 Représentation d un graphe (matrice d incidence, matrice d

Plus en détail

L a d é m a r c h e e t l e s o u t i l s p r o p o s é s

L a d é m a r c h e e t l e s o u t i l s p r o p o s é s Guide méthodologique pour la construction d un bilan quantitatif et qualitatif des contrats de territoire. L a d é m a r c h e e t l e s o u t i l s p r o p o s é s Il est proposé de bâtir le bilan avec

Plus en détail

1 Introduction C+ + Algorithm e. languag. Algorigramm. machine binaire. 1-1 Chaîne de développement. Séance n 4

1 Introduction C+ + Algorithm e. languag. Algorigramm. machine binaire. 1-1 Chaîne de développement. Séance n 4 1 Introduction 1-1 Chaîne de développement Algorithm e C+ + Algorigramm e languag e machine binaire Le programme est écrit à l aide de Multiprog sous forme d algorigramme puis introduit dans le microcontrôleur

Plus en détail

Le théorème de Thalès et sa réciproque

Le théorème de Thalès et sa réciproque Le théorème de Thalès et sa réciproque I) Agrandissement et Réduction d une figure 1) Définition : Lorsque toutes les longueurs d une figure F sont multipliées par un même nombre k on obtient une autre

Plus en détail

Exo7. Matrice d une application linéaire. Corrections d Arnaud Bodin.

Exo7. Matrice d une application linéaire. Corrections d Arnaud Bodin. Exo7 Matrice d une application linéaire Corrections d Arnaud odin. Exercice Soit R muni de la base canonique = ( i, j). Soit f : R R la projection sur l axe des abscisses R i parallèlement à R( i + j).

Plus en détail

6. Hachage. Accès aux données d'une table avec un temps constant Utilisation d'une fonction pour le calcul d'adresses

6. Hachage. Accès aux données d'une table avec un temps constant Utilisation d'une fonction pour le calcul d'adresses 6. Hachage Accès aux données d'une table avec un temps constant Utilisation d'une fonction pour le calcul d'adresses PLAN Définition Fonctions de Hachage Méthodes de résolution de collisions Estimation

Plus en détail

Cours 1 : Introduction Ordinateurs - Langages de haut niveau - Application

Cours 1 : Introduction Ordinateurs - Langages de haut niveau - Application Université de Provence Licence Math-Info Première Année V. Phan Luong Algorithmique et Programmation en Python Cours 1 : Introduction Ordinateurs - Langages de haut niveau - Application 1 Ordinateur Un

Plus en détail

WIFI-DMX INTERFACE AUTONOME V 1.5.0

WIFI-DMX INTERFACE AUTONOME V 1.5.0 WIFI-DMX INTERFACE AUTONOME V 1.5.0 SOMMAIRE Specifications techniques de l interface... 3 Brochage de l interface... 4 Câblage et connexion des 15 contacts externes... 5 Face avant de l interface... 6

Plus en détail

Présentation du cours de mathématiques de D.A.E.U. B, remise à niveau

Présentation du cours de mathématiques de D.A.E.U. B, remise à niveau i Présentation du cours de mathématiques de D.A.E.U. B, remise à niveau Bonjour, bienvenue dans votre début d étude du cours de mathématiques de l année de remise à niveau en vue du D.A.E.U. B Au cours

Plus en détail

Cours Informatique Master STEP

Cours Informatique Master STEP Cours Informatique Master STEP Bases de la programmation: Compilateurs/logiciels Algorithmique et structure d'un programme Programmation en langage structuré (Fortran 90) Variables, expressions, instructions

Plus en détail

Electronique Numérique

Electronique Numérique Electronique Numérique 1er tome Systèmes combinatoires Etienne Messerli Yves Meyer Septembre 2010 Version 1.4 Mise à jour de ce manuel La base du présent manuel a été écrit par M. Yves Meyer de l'école

Plus en détail

Licence ST Université Claude Bernard Lyon I LIF1 : Algorithmique et Programmation C Bases du langage C 1 Conclusion de la dernière fois Introduction de l algorithmique générale pour permettre de traiter

Plus en détail

CHAPITRE 2 SYSTEMES D INEQUATIONS A DEUX INCONNUES

CHAPITRE 2 SYSTEMES D INEQUATIONS A DEUX INCONNUES CHAPITRE 2 SYSTEMES D INEQUATIONS A DEUX INCONNUES Exercice 1 Dans un repère orthonormé on donne les points A( 1;2 ), ( 5; 6) et les droites a 3x + 2y = 5 et b 4x 3y + 10 = 0. B, 1 C 5; 2, 1 D 7; 2 1)

Plus en détail

INITIATION AU LANGAGE C SUR PIC DE MICROSHIP

INITIATION AU LANGAGE C SUR PIC DE MICROSHIP COURS PROGRAMMATION INITIATION AU LANGAGE C SUR MICROCONTROLEUR PIC page 1 / 7 INITIATION AU LANGAGE C SUR PIC DE MICROSHIP I. Historique du langage C 1972 : naissance du C dans les laboratoires BELL par

Plus en détail

Les chaînes de caractères

Les chaînes de caractères Les chaînes de caractères Dans un programme informatique, les chaînes de caractères servent à stocker les informations non numériques comme par exemple une liste de nom de personne ou des adresses. Il

Plus en détail

Découverte du tableur CellSheet

Découverte du tableur CellSheet Découverte du tableur CellSheet l application pour TI-83 Plus et TI-84 Plus. Réalisé par Guy Juge Professeur de mathématiques et formateur IUFM de l académie de Caen Pour l équipe des formateurs T 3 Teachers

Plus en détail

CHAPITRE 10. Jacobien, changement de coordonnées.

CHAPITRE 10. Jacobien, changement de coordonnées. CHAPITRE 10 Jacobien, changement de coordonnées ans ce chapitre, nous allons premièrement rappeler la définition du déterminant d une matrice Nous nous limiterons au cas des matrices d ordre 2 2et3 3,

Plus en détail

Fiche technique CPU 314SC/DPM (314-6CG13)

Fiche technique CPU 314SC/DPM (314-6CG13) Fiche technique CPU 314SC/DPM (3146CG13) Données techniques N de commande 3146CG13 Type CPU 314SC/DPM Information générale Note Caractéristiques SPEEDBus Technologie SPEED7 24 x DI, 16 x DO, 8 x DIO, 4

Plus en détail

Les Angles. I) Angles complémentaires, angles supplémentaires. 1) Angles complémentaires. 2 Angles supplémentaires. a) Définition.

Les Angles. I) Angles complémentaires, angles supplémentaires. 1) Angles complémentaires. 2 Angles supplémentaires. a) Définition. Les Angles I) Angles complémentaires, angles supplémentaires 1) Angles complémentaires Deux angles complémentaires sont deux angles dont la somme des mesures est égale à 90 41 et 49 41 49 90 donc Les angles

Plus en détail

Modélisation et Simulation

Modélisation et Simulation Cours de modélisation et simulation p. 1/64 Modélisation et Simulation G. Bontempi Département d Informatique Boulevard de Triomphe - CP 212 http://www.ulb.ac.be/di Cours de modélisation et simulation

Plus en détail

Hiérarchie matériel dans le monde informatique. Architecture d ordinateur : introduction. Hiérarchie matériel dans le monde informatique

Hiérarchie matériel dans le monde informatique. Architecture d ordinateur : introduction. Hiérarchie matériel dans le monde informatique Architecture d ordinateur : introduction Dimitri Galayko Introduction à l informatique, cours 1 partie 2 Septembre 2014 Association d interrupteurs: fonctions arithmétiques élémentaires Elément «NON» Elément

Plus en détail