Mini projet VHDL ( )

Dimension: px
Commencer à balayer dès la page:

Download "Mini projet VHDL ( http://seb.france.free.fr/eseo/i2/vhdl )"

Transcription

1 Mini projet VHDL ( ) Professeur responsable : Etudiants I2 : Daniel Sébastien Yvonnick GENET FRANÇOIS BRUNET 1

2 SOMMAIRE 1. Introduction 3 2. Spécifications 3 1) Description fonctionnelle 3 2) Implémentation 3 3) Vue externe 4 4) Structure interne 4 5) Schéma structurel du projet (architecture PAINT) 5 6) Détail des blocs 6 1) SOURIS 6 2) COLORATION 6 3) ADRESSEURMUX 7 4) VGASIGNALS 8 5) CTRL_VGA 9 6) DIVISEUR 9 7) CURSEUR Grafcet de la partie séquentielle Taux d occupation du circuit cible Listing commenté du code VHDL 12 1) COLORATION.vhd 12 2) ADRESSEURMUX.vhd 13 3) VGASIGNALS.vhd 14 4) DIVISEUR.vhd 15 5) CURSEUR.vhd 16 6) PROJET_PAINT.vhd Conclusion 19 2

3 1. Introduction Nous avons choisi de réaliser un programme inspiré du logiciel Paint de Microsoft. Le but est de pouvoir dessiner une image sur un écran à l aide d une souris. Nous avons décidé que le dessin s effectuerait pixel par pixel pour en simplifier la mise en oeuvre, le dessin par méthode vectorielle ou interpolée nécessitant des calculs de coefficients directeurs. L objectif du mini-projet est de concevoir puis développer une application VHDL embarquée sur une carte prototype Xilinx. 2. Spécifications 1) Description fonctionnelle Notre dispositif permet de dessiner, il comporte une souris et un écran d ordinateur. Lors d un clic, on applique une couleur à l endroit où se situe le curseur. Nous avons attribué une couleur par bouton. Il nous faudra donc gérer les mouvements de la souris, les couleurs, ainsi que la modification des pixels de l image. 2) Implémentation Nous utiliserons une carte d évaluation à base de FPGA XILINX SPARTAN3 XC3S200 de portes cadencé à 50MHz. Parmi les périphériques inclus sur la carte, nous utiliserons la sortie VGA ainsi que le port PS/2. Il nous faudra aussi utiliser la SRAM disponible sur la carte pour créer un tampon graphique. Nous stockerons la couleur d un pixel sur 3 bits, pour une image de 400x262, chaque pixel sera codé sur un octet, soit environ 102ko. Nous configurerons donc la SRAM pour n utiliser qu un seul boîtier (mot de 16 bits) puis en activant seulement l octet de poids faible. Il nous faudra par la suite gérer lorsque l écran tente d accéder à des adresses hors de la RAM. Ces ressources seront affectées de la manière suivante : - déplacement du curseur selon les mouvements de la souris - affectation de la couleur de dessin à un pixel lors d un clic - choix de la couleur de dessin - utilisation de la zone mémoire pour stocker l image affichée à l écran - balayage de la mémoire et génération des signaux de contrôle de l écran. 3

4 3) Vue externe Souris PS2 SRAM 4) Structure interne Nous aurons besoin en plus du séquenceur des blocs suivants : - un composant souris qui en gère l initialisation (PS/2) et nous fournit sa position dans un espace 800x525 ainsi que l état de ses boutons (souris) - un bloc gérant la coloration des pixels (coloration) - un contrôleur de SRAM (adresseurmux) - un bloc gérant la décomposition des pixels lus en mémoire pour générer les signaux R, V et B (vgasignals) - un contrôleur d affichage RGB générant l ensemble des signaux de contrôle : les composantes rouge, verte et bleue ainsi que les informations de synchronisation verticales et horizontales (ctrl_vga) Il nous faudra utiliser un diviseur de fréquence pour activer ce dernier bloc, la norme VGA nous impose d utiliser une fréquence de 25MHz. 4

5 5) Schéma structurel du projet (architecture PAINT) 5

6 6) Détail des blocs 1) SOURIS Description fonctionnelle Le code gérant la souris PS/2 nous est fourni, ce bloc procède à l initialisation, puis nous permet d accéder directement aux coordonnées de la souris ainsi qu à l état de ses boutons. Les coordonnées de la souris sont exprimées dans un espace de 800x525, nous les utiliserons directement pour adresser la RAM. Vue externe 2) COLORATION Description fonctionnelle Ce bloc a pour fonction, lors d un clic droit ou gauche (BD ou BG), de sélectionner la couleur de dessin. Vue externe Structure interne Un appui sur le bouton droit (CLICKD) ou le bouton gauche (CLICKG) définit la valeur qui sera écrite en ram ce qui permettra d avoir trois couleurs. Les couleurs associées aux boutons sont définies sous la forme de signaux internes au bloc, nommés couleurd (bouton droit) et couleurg (bouton gauche). Un xor entre ces deux couleurs est effectué si les deux boutons sont appuyés simultanément. Schéma structurel 6

7 3) ADRESSEURMUX Description fonctionnelle Ce bloc contient l ensemble des multiplexeurs servant à l adressage de la ram mais aussi à l aiguillage des données sortant de la RAM. Le premier a pour fonction, pendant que l écran n est pas dessiné (blanking), et lors d un clic (BG ou BG), de convertir les coordonnées de la souris (X1 et Y2) afin de fournir l adresse en RAM où l on va stocker la couleur (XYout). Lorsque l on dessine vers l écran, il fournit l adresse en RAM du pixel à afficher, cette adresse est calculée grâce aux signaux fournis par ctrl_vga et entrant sur X2 et Y2. Le deuxième gère l entrée des données dans la RAM, pendant sa remise à zéro, il place les broches de données à 0. Ensuite pendant l affichage de l écran il déconnecte le bloc coloration de la RAM afin qu il n y ait pas de court-circuit pendant sa lecture. Pendant la période de blanking, il connecte le bloc coloration à la RAM afin que le pixel dessiné y soit stocké. Nous avons inséré dans ce bloc du code remettant à zéro le contenu de la RAM lors d une RAZ, et ce, afin qu elle ne contienne pas de valeurs aléatoires à sa mise sous tension. Durant cette mise à zéro, le signal progress est à 1. Vue externe Structure interne Un appui sur le bouton droit (CLICKD) ou le bouton gauche (CLICKG) définit la valeur qui sera écrite en ram ce qui permettra d avoir deux couleurs ou un crayon et une gomme. Les coordonnées X et Y du curseur nous permettent de calculer l adresse en RAM. 7

8 Schéma structurel Bloc de gestion de l adressage de la RAM Bloc de gestion des données fournies à la RAM Bloc de remise à zéro du contenu de la RAM 4) VGASIGNALS Description fonctionnelle Ce bloc a pour fonction de préparer les signaux de couleur à être envoyés à l écran. Vue externe Structure interne Le vecteur de bits contenant les composantes de couleur de chaque pixel (VGAout) est décomposé en trois signaux distincts (R, G, B) destinés à l écran. La norme indique que ces trois signaux doivent être mis à zéro pendant la période où l écran n est pas dessiné (blanking). 8

9 Schéma structurel 5) CTRL_VGA Description fonctionnelle Le code gérant les signaux de contrôle de l écran nous est fourni. Il fonctionne pour résolution VGA (640x480). Il nous fournit les signaux de synchronisation verticale et horizontale (V_SYNC et H_SYNC) nécessaires au bon fonctionnement de l écran, mais aussi deux entiers, X et Y, parcourant les valeurs de 0, 0 à 799, 524. Ceux-ci nous servent à balayer le contenu de la ram pour sortir les pixels vers l écran. La broche EN nous permet de désactiver le bloc de manière à ce que la valeur en sortie soit figée. Ceci est nécessaire car l horloge du système fonctionne à 50 MHz tandis que les données VGA doivent être fournies à 25 MHz. Vue externe 6) DIVISEUR Description fonctionnelle Ce bloc a pour fonction de ralentir les signaux envoyés à l écran. En effet pour contrôler celui-ci on doit le piloter à 25 Mhz, il suffit donc de diviser par deux l horloge de notre système. On va créer un simple diviseur de fréquence qui ira ensuite activer ou désactiver le bloc ctrl_vga. Vue externe Structure interne Nous avons utilisé ici un simple compteur synchrone sur 2 bits dont on prélève le bit de poids fort. 9

10 Schéma structurel 7) CURSEUR Description fonctionnelle Ce bloc a pour fonction d afficher un point représentant le curseur de la souris, nous permettant de localiser la position sur l écran. Pour cela, il faut comparer la position de la souris et des coordonnées de l écran. Vue externe Structure interne On compare en permanence les coordonnées du point dessiné (XPIX, YPIX) et les coordonnées de la souris (XP, YP). Lorsqu il y a égalité, on inverse la couleur lue en ram, ce qui nous permet de différencier le curseur de l image dans tous les cas possibles. En revanche, lorsqu il n y a pas d égalité on ne fait rien. Les couleurs sont directement transmises au bloc suivant. Schéma structurel 10

11 3. Grafcet de la partie séquentielle CS_CPT : Mise à zéro synchrone de CPT EN_CPT : Validation d incrémentation du compteur CPT Dataram <= "000" : Mise à zéro de chaque case mémoire progress : flag indiquant une remise à zéro de RAM en cours INIT_SRAM : initialisation de la ram Lors d une remise à zéro, le signal progress est validé, il active notamment l écriture en RAM dans le bloc racine. On met alors à zéro le bus de données de la RAM tandis que le compteur CPT est incrémenté jusqu à ce qu il soit égal aux 400*262 cases utilisées, remettant ainsi chaque case de la RAM à zéro. On redonne la main au programme principal. 4. Taux d occupation du circuit cible Device utilization summary: - Selected Device : 3s200ft256-5 Number of Slices: 150 out of % Number of Slice Flip Flops: 97 out of % Number of 4 input LUTs: 267 out of % Number of bonded IOBs: 39 out of % Number of GCLKs: 1 out of 8 12% 11

12 5. Listing commenté du code VHDL 1) COLORATION.vhd - Company: ESEO Engineer: BRUNET Yvonnick & Sébastien FRANÇOIS Create Date: 09:54:45 01/04/06 Module Name: COLORATION - Behavioral Project Name: Paint Target Device: Spartan 3 Description: Bloc de gestion de la couleur et de l'affectation aux boutons de la souris Revision: Revision File Created - library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity COLORATION is Port ( BG : in std_logic; BD : in std_logic; data : out std_logic_vector(2 downto 0)); end COLORATION; architecture Behavioral of COLORATION is signal couleurd : std_logic_vector (2 downto 0); signal couleurg : std_logic_vector (2 downto 0); signal boutons : std_logic_vector (1 downto 0); begin couleurg <= "100"; couleur click gauche couleurd <= "110"; couleur click droit boutons(0)<=bd; boutons(1)<=bg; with boutons select data <= couleurd when "01", couleurg when "10", couleurd xor couleurg when "11", "000" when others; on fournit en sortie la couleur sélectionnée end Behavioral; 12

13 2) ADRESSEURMUX.vhd - Company: ESEO Engineer: BRUNET Yvonnick & Sébastien FRANÇOIS Create Date: 08:24:19 01/04/06 Module Name: ADRESSEURMUX - Behavioral Project Name: Paint Target Device: Spartan 3 Description: Effectue le multiplexage d'adresse pour la SRAM pour permettre l'écriture puis la lecture Revision: Revision File Created - library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity ADRESSEURMUX is Port ( CLK, RAZ : in std_logic; X1 : in integer range 0 to 799; Y1 : in integer range 0 to 524; X2 : in integer range 0 to 799; Y2 : in integer range 0 to 524; XYout : out std_logic_vector(17 downto 0); progress : inout std_logic; blanking, BD, BG : in std_logic; end ADRESSEURMUX; ColorIN : in std_logic_vector(2 downto 0); ColorRAM : out std_logic_vector(2 downto 0) ); architecture Behavioral of ADRESSEURMUX is signal X : std_logic_vector (8 downto 0); signal Y : std_logic_vector (8 downto 0); signal CPT : std_logic_vector(17 downto 0); begin MUX 1 Les coordonnées adressent directement en mémoire X<=CONV_STD_LOGIC_VECTOR(X1/2,9) when blanking = '1' else CONV_STD_LOGIC_VECTOR(X2/2,9); Y<=CONV_STD_LOGIC_VECTOR(Y1/2,9) when blanking = '1' else CONV_STD_LOGIC_VECTOR(Y2/2,9); XYout(17 downto 9) <= CPT(17 downto 9) when progress = '1' else Y; XYout(8 downto 0) <= CPT (8 downto 0) when progress = '1' else X; MUX 2 Les données de couleur peuvent être mises à zéro ou aiguillées entre le bloc de dessin et la SRAM ColorRAM <= "000" when progress = '1' else ColorIN when (BD or BG) = '1' and blanking = '1' and progress = '0' else (others => 'Z') ; 13

14 Bloc séquentiel de remise à zéro du contenu de la SRAM, l'exécution commence ici! P_RAZRAM : process(clk, RAZ) begin if RAZ = '1' then progress <= '1'; elsif CLK'event and CLK = '1' then if(progress = '1') then CPT <= CPT+1; if CPT = CONV_STD_LOGIC_VECTOR(400*262,18) then progress <= '0'; on parcourt les 400*262 cases end if; end if; end if; end process P_RAZRAM; end Behavioral; 3) VGASIGNALS.vhd - Company: ESEO Engineer: BRUNET Yvonnick & Sébastien FRANÇOIS Create Date: 10:13:32 01/04/06 Module Name: VGASignals - Behavioral Project Name: Paint Target Device: Spartan 3 Description: Décompose un vecteur de bits en trois informations R, G et B pour l'écran avec possibilité de neutralisation lorsque blanking = 1 Revision: Revision File Created - library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity VGASignals is Port ( VGAout : in std_logic_vector(2 downto 0); blanking : in std_logic; R : out std_logic; G : out std_logic; B : out std_logic); end VGASignals; architecture Behavioral of VGASignals is begin R <= '0' when blanking = '1' else VGAout(2); G <= '0' when blanking = '1' else VGAout(1); B <= '0' when blanking = '1' else VGAout(0); end Behavioral; 14

15 4) DIVISEUR.vhd - Company: ESEO Engineer: BRUNET Yvonnick & Sébastien FRANÇOIS Create Date: 10:21:37 01/04/06 Module Name: Diviseur - Behavioral Project Name: Paint Target Device: Spartan 3 Description: Génère un signal synchrone à 25 MHz Revision: Revision File Created - library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity Diviseur is Port ( input,raz : in std_logic; output : out std_logic); end Diviseur; architecture Behavioral of Diviseur is signal cpt : std_logic_vector(1 downto 0); begin P_CPT : process(raz,input) begin if raz = '1' then cpt <= "00"; compteur 2 bits RAZ asynchrone elsif input'event and input = '1' then if(cpt<3) then cpt <= cpt+1; else cpt <= "00"; end if; end if; end process P_CPT; output <= cpt(1); on prélève le bit de poids fort end Behavioral; 15

16 5) CURSEUR.vhd - Company: ESEO Engineer: BRUNET Yvonnick & Sébastien FRANÇOIS Create Date: 11:24:34 01/09/06 Module Name: curseur - Behavioral Project Name: Paint Target Device: Spartan 3 Description: Superpose un pixel en video inversée à l'emplacement du curseur traitement fait directement au niveau de l'image Revision: Revision File Created - library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity curseur is Port ( XP : in integer range 0 to 799; YP : in integer range 0 to 524; XPIX : in integer range 0 to 799; YPIX : in integer range 0 to 524; imgin : in std_logic_vector(2 downto 0); imgout : out std_logic_vector(2 downto 0)); end curseur; architecture Behavioral of curseur is begin imgout <= not(imgin) when (XPIX<=XP) and (XP<XPIX+4) and (YPIX<=YP) and (YP<YPIX+4) else imgin; video inversée pour le curseur de 8x8 pixels end Behavioral; 16

17 6) PROJET_PAINT.vhd - Company: ESEO Engineer: BRUNET Yvonnick & Sébastien FRANÇOIS Create Date: 08:03:48 01/04/06 Module Name: projet_paint - Behavioral Project Name: Paint Target Device: Spartan 3 Description: Ce composant permet de dessiner à l'aide d'une souris sur un écran d ordinateur Dependencies: ADRESSEUR, COLORATION, CTRL_VGA, DIVISEUR, SOURIS, VGASIGNALS, CURSEUR Revision: Revision File Created - library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity projet_paint is Port ( RAZ : in std_logic; CLK : in std_logic; R : out std_logic; G : out std_logic; B : out std_logic; H_SYNC : out std_logic; V_SYNC : out std_logic; Data_Mouse : inout std_logic; Clk_Mouse : in std_logic; we, oe, ce1, ub1, lb1, ce2 : out std_logic; dataram : inout std_logic_vector(2 downto 0); address : out std_logic_vector(17 downto 0)); Affectations des broches sur la carte attribute loc : string; attribute loc of CLK : signal is "T9"; attribute loc of RAZ : signal is "L14"; attribute loc of Data_Mouse : signal is "M15"; attribute loc of Clk_Mouse : signal is "M16"; attribute loc of R : signal is "R12"; attribute loc of G : signal is "T12"; attribute loc of B : signal is "R11"; attribute loc of we : signal is "G3"; Write Enable conditionné attribute loc of oe : signal is "K4"; Output Enable permanent à 0 attribute loc of ce1 : signal is "P7"; à mettre à 0 attribute loc of ub1 : signal is "T4"; à mettre à 1 attribute loc of lb1 : signal is "P6"; à mettre à 0 attribute loc of ce2 : signal is "N5"; à mettre à 1 attribute loc of dataram : signal is "R6,T8,N7"; attribute loc of address : signal is "L3,K5,K3,J3,J4,H4,H3,G5,E4,E3,F4,F3,G4,L4,M3,M4,N3,L5"; end projet_paint; 17

18 architecture Behavioral of projet_paint is COMPONENTS component CTRL_VGA is port(clk,en: in std_logic; H_SYNC,V_SYNC,BLANKING: out std_logic; X: out integer range 0 to 799; Y: out integer range 0 to 524); end component; component COLORATION is Port ( BG : in std_logic; BD : in std_logic; data : out std_logic_vector(2 downto 0)); end component; component souris is port (clock, raz : in std_logic; MOUSE_DATA : inout std_logic; MOUSE_CLK : in std_logic; XP : out integer range 0 to 799; YP : OUT integer range 0 to 524; BG : out std_logic; BD : out std_logic); end component; component ADRESSEURMUX is Port ( CLK, RAZ : in std_logic; X1 : in integer range 0 to 799; Y1 : in integer range 0 to 524; X2 : in integer range 0 to 799; Y2 : in integer range 0 to 524; XYout : out std_logic_vector(17 downto 0); progress : inout std_logic; blanking, BD, BG : in std_logic; ColorIN : in std_logic_vector(2 downto 0); ColorRAM : out std_logic_vector(2 downto 0)); end component; component VGASignals is Port ( VGAout : in std_logic_vector(2 downto 0); blanking : in std_logic; R : out std_logic; G : out std_logic; B : out std_logic); end component; component Diviseur is Port ( input,raz : in std_logic; output : out std_logic); end component; component curseur is Port ( XP : in integer range 0 to 799; YP : in integer range 0 to 524; XPIX : in integer range 0 to 799; YPIX : in integer range 0 to 524; imgin : in std_logic_vector(2 downto 0); imgout : out std_logic_vector(2 downto 0)); end component; 18

19 signal BD,BG : std_logic; signal XP : integer range 0 to 799; coordonnées de la souris signal YP : integer range 0 to 524; signal XPIX : integer range 0 to 799; coordonnées du pixel dessiné signal YPIX : integer range 0 to 524; signal sel : std_logic; bascule le dessin ou l'affichage signal colordraw : std_logic_vector(2 downto 0); lien entre coloration et adresseurmux signal image : std_logic_vector(2 downto 0); lien entre la sortie du curseur et vgasignals signal progress, blanking: std_logic; begin ce2 <= '1'; ce1 <= '0'; selection du premier bloc de SRAM ub1 <= '1'; lecture de l'octet de poids faible lb1 <= '0'; we <= '0' when ((BG or BD) = '1' and blanking = '1') or progress = '1' else '1'; écriture active après un clic pendant que l'on ne dessine pas ou bien pendant la remise à zéro de la SRAM oe <= '0'; Instanciation des composants U0 : SOURIS port map(clock => CLK, raz => RAZ, MOUSE_CLK => Clk_Mouse, MOUSE_DATA => Data_Mouse, BD => BD, BG => BG, XP => XP, YP => YP); U1 : ADRESSEURMUX port map(clk => CLK, RAZ => RAZ, X1 => XP, Y1 => YP, X2 => XPIX, Y2 => YPIX, ColorIN => colordraw, ColorRAM => dataram, XYout => address, progress => progress, blanking => blanking, BD => BD, BG => BG); U2 : CTRL_VGA port map(clk => CLK, EN => sel, H_SYNC => H_SYNC, V_SYNC => V_SYNC, blanking => blanking, X => XPIX, Y => YPIX); U3 : COLORATION port map(bd => BD, BG => BG, data => colordraw); U4 : VGASignals port map(vgaout => image, blanking => blanking, R => R, G => G, B => B); U5 : Diviseur port map(raz => RAZ, input => CLK, output => sel); U6 : curseur port map(imgin => dataram, imgout => image, XP => XP, XPIX => XPIX, YP => YP, YPIX => YPIX); end Behavioral; 6. Conclusion Le sujet nous a beaucoup intéressés car nous avons pu nous pencher sur la mise en œuvre d un framebuffer et d un curseur matériel. Nous avons apporté de l attention à la conception des blocs fonctionnels sur papier. Ainsi, après avoir établi la structure du programme, nous avons pu facilement définir les blocs, et plus tard lorsque nous avons fait des corrections, il nous a suffi d adapter le schéma interne. Dans un premier temps, nous avions omis de remettre à zéro le contenu de la SRAM. A la mise sous tension, l écran affichait des couleurs aléatoires. Nous avons ajouté du code dans le bloc Adresseurmux pour y remédier. La remise à zéro de la RAM mériterait un bloc spécifique. Nous avons été surpris de voir la vitesse avec laquelle ce projet a pu prendre forme, comparé par exemple au temps qu il faudrait pour réaliser le même projet à base de programmation de microprocesseur. Une fois le modèle établi, le passage du modèle au VHDL s est effectué sans problème. 19

Manipulations du laboratoire

Manipulations du laboratoire Manipulations du laboratoire 1 Matériel Les manipulations de ce laboratoire sont réalisées sur une carte électronique comprenant un compteur 4-bit asynchrone (74LS93) avec possibilité de déclenchement

Plus en détail

Le langage VHDL. Eduardo Sanchez EPFL

Le langage VHDL. Eduardo Sanchez EPFL Le langage VHDL Eduardo Sanchez EPFL Livres conseillés: John F. Wakerly Digital design (4th edition) Prentice Hall, 2005 Peter J. Ashenden The designer's guide to VHDL (3rd edition) Morgan Kaufmann, 2008

Plus en détail

SIN-FPGA DESCRIPTION PAR SCHEMA

SIN-FPGA DESCRIPTION PAR SCHEMA SIN-FPGA DESCRIPTION PAR SCHEMA Documents ressources: http://www.altera.com/literature/lit-index.html Introduction to Quartus II : intro_to_quartus2.pdf Documentation QUARTUS II : quartusii_handbook.pdf

Plus en détail

T. BLOTIN Lycée Paul-Eluard 93206 SAINT-DENIS

T. BLOTIN Lycée Paul-Eluard 93206 SAINT-DENIS T. BLOTIN Lycée Paul-Eluard 93206 SAINT-DENIS SOMMAIRE I. Le VHDL pour qui, pourquoi, quand, comment? A. Le VHDL!...... 1 B. Pourquoi un langage de description?...... 1 C. Les limites actuelles...... 2

Plus en détail

SUR MODULE CAMÉRA C38A (OV7620)

SUR MODULE CAMÉRA C38A (OV7620) Applications maquette d'étude EP10K20 DÉMULTIPLEXEUR BT.656 SUR MODULE CAMÉRA C38A OV7620 SCHÉMAS ET DESCRIPTIONS AHDL 1. Schéma principal Le démultiplexeur proprement dit est la fonction "Decod_BT656_1".

Plus en détail

Conception Systèmes numériques VHDL et synthèse automatique des circuits

Conception Systèmes numériques VHDL et synthèse automatique des circuits Année 2008-2009 Conception Systèmes numériques VHDL et synthèse automatique des circuits Travaux pratiques Pentium4 Présentation du simulateur VHDL sous environnement Cadence Présentation de l outil Synopsys

Plus en détail

VIII- Circuits séquentiels. Mémoires

VIII- Circuits séquentiels. Mémoires 1 VIII- Circuits séquentiels. Mémoires Maintenant le temps va intervenir. Nous avions déjà indiqué que la traversée d une porte ne se faisait pas instantanément et qu il fallait en tenir compte, notamment

Plus en détail

QUESTION 1 {2 points}

QUESTION 1 {2 points} ELE4301 Systèmes logiques II Page 1 de 8 QUESTION 1 {2 points} En se servant de paramètres électriques donnés dans le Tableau 1 ci-dessous, on désire déterminer la fréquence d opération du compteur présenté

Plus en détail

EPREUVE OPTIONNELLE d INFORMATIQUE CORRIGE

EPREUVE OPTIONNELLE d INFORMATIQUE CORRIGE EPREUVE OPTIONNELLE d INFORMATIQUE CORRIGE QCM Remarque : - A une question correspond au moins 1 réponse juste - Cocher la ou les bonnes réponses Barème : - Une bonne réponse = +1 - Pas de réponse = 0

Plus en détail

SYSTEME DE TELESURVEILLANCE VIDEO

SYSTEME DE TELESURVEILLANCE VIDEO SYSTEME DE TELESURVEILLANCE VIDEO Avril - Juin 98 Résumé des auteurs : Auteurs : Bertrand LASSERRE Responsables : P. KADIONIK Y. BERTHOUMIEU Nombre de pages : 68 Nombre de pages «Annexe» : 0 Remerciements

Plus en détail

Conception Systèmes numériques VHDL et synthèse automatique des circuits

Conception Systèmes numériques VHDL et synthèse automatique des circuits Année 2011-2012 Conception Systèmes numériques VHDL et synthèse automatique des circuits Travaux pratiques WIDEMACV1 LAAS-CNRS 2011 Présentation du simulateur VHDL sous environnement Cadence Présentation

Plus en détail

ARDUINO DOSSIER RESSOURCE POUR LA CLASSE

ARDUINO DOSSIER RESSOURCE POUR LA CLASSE ARDUINO DOSSIER RESSOURCE POUR LA CLASSE Sommaire 1. Présentation 2. Exemple d apprentissage 3. Lexique de termes anglais 4. Reconnaître les composants 5. Rendre Arduino autonome 6. Les signaux d entrée

Plus en détail

RÉALISATION ET MISE AU POINT D UN SYSTÈME DE TÉLÉSURVEILLANCE VIDÉO

RÉALISATION ET MISE AU POINT D UN SYSTÈME DE TÉLÉSURVEILLANCE VIDÉO E.N.S.E.R.B. Romain COMBELAS Frédéric BONNIN 3ème année. Option TIC MÉMOIRE DE FIN D ÉTUDES RÉALISATION ET MISE AU POINT D UN SYSTÈME DE TÉLÉSURVEILLANCE VIDÉO Encadré par : M. Patrice KADIONIK M. Yannick

Plus en détail

Conception de circuits numériques et architecture des ordinateurs

Conception de circuits numériques et architecture des ordinateurs Conception de circuits numériques et architecture des ordinateurs Frédéric Pétrot et Sébastien Viardot Année universitaire 2011-2012 Structure du cours C1 C2 C3 C4 C5 C6 C7 C8 C9 C10 C11 C12 Codage des

Plus en détail

Architecture des ordinateurs TD1 - Portes logiques et premiers circuits

Architecture des ordinateurs TD1 - Portes logiques et premiers circuits Architecture des ordinateurs TD1 - Portes logiques et premiers circuits 1 Rappel : un peu de logique Exercice 1.1 Remplir la table de vérité suivante : a b a + b ab a + b ab a b 0 0 0 1 1 0 1 1 Exercice

Plus en détail

Structure fonctionnelle d un SGBD

Structure fonctionnelle d un SGBD Fichiers et Disques Structure fonctionnelle d un SGBD Requetes Optimiseur de requetes Operateurs relationnels Methodes d acces Gestion de tampon Gestion de disque BD 1 Fichiers et Disques Lecture : Transfert

Plus en détail

Guide pour la réalisation d'un document avec Open Office Writer 2.2

Guide pour la réalisation d'un document avec Open Office Writer 2.2 Guide pour la réalisation d'un document avec Open Office Writer 2.2 1- Lancement de l'application : Le Traitement de textes de la Suite OpenOffice peut être lancé : soit depuis le menu «Démarrer / Programmes/OpenOffice2.2/Writer

Plus en détail

HMI target Visu / PLC HMI. Pour réaliser une interface homme machine avec PLC control

HMI target Visu / PLC HMI. Pour réaliser une interface homme machine avec PLC control HMI target Visu / PLC HMI Pour réaliser une interface homme machine avec PLC control VERSION : 1.4 / PH DATE : 5 Novembre 2014 Sommaire Ce manuel explique de manière pratique les étapes successives pour

Plus en détail

GESTION DU LOGO. 1. Comment gérer votre logo? 2. 2.1. Format de l image 7 2.2. Dimensions de l image 8 2.3. Taille de l image 9

GESTION DU LOGO. 1. Comment gérer votre logo? 2. 2.1. Format de l image 7 2.2. Dimensions de l image 8 2.3. Taille de l image 9 GESTION DU LOGO 1. Comment gérer votre logo? 2 1.1. Insérer un logo 3 1.1.1. Insérer un logo dans le bandeau 3 1.1.2. Insérer un logo dans les éditions 4 1.2. Supprimer un logo 6 1.2.1. Supprimer un logo

Plus en détail

Fiche technique CPU 314SC/DPM (314-6CG13)

Fiche technique CPU 314SC/DPM (314-6CG13) Fiche technique CPU 314SC/DPM (3146CG13) Données techniques N de commande 3146CG13 Type CPU 314SC/DPM Information générale Note Caractéristiques SPEEDBus Technologie SPEED7 24 x DI, 16 x DO, 8 x DIO, 4

Plus en détail

Quoi de neuf en contrôle/commande et systèmes embarqués (RIO, WSN...)?

Quoi de neuf en contrôle/commande et systèmes embarqués (RIO, WSN...)? Quoi de neuf en contrôle/commande et systèmes embarqués (RIO, WSN...)? Mathieu PACE National Instruments, Ingénieur d applications L architecture RIO se développe Processeur FPGA E/S E/S E/S personnalisées

Plus en détail

Tuto pour connecter une source RVB RGB à un moniteur Commodore / Amiga

Tuto pour connecter une source RVB RGB à un moniteur Commodore / Amiga Tuto pour connecter une source RVB RGB à un moniteur Commodore / Amiga Il faut 1 moniteur Commodore 1084S / 1083S-D1 Ecran 1084S (au dos, il est indiqué 1083S-D1), je l ai eut avec un Amiga 600 et on va

Plus en détail

Surveillance de Scripts LUA et de réception d EVENT. avec LoriotPro Extended & Broadcast Edition

Surveillance de Scripts LUA et de réception d EVENT. avec LoriotPro Extended & Broadcast Edition Surveillance de Scripts LUA et de réception d EVENT avec LoriotPro Extended & Broadcast Edition L objectif de ce document est de présenter une solution de surveillance de processus LUA au sein de la solution

Plus en détail

Guide d installation & de démarrage Gamme Aqua PC Version AquaPro

Guide d installation & de démarrage Gamme Aqua PC Version AquaPro Guide d installation & de démarrage Gamme Aqua PC Version AquaPro Guide de démarrage AquaPro PC VR1.00 1. Introduction Félicitation vous venez d acquérir un PC AquaPro. Il est conseillé de faire installer

Plus en détail

TD Architecture des ordinateurs. Jean-Luc Dekeyser

TD Architecture des ordinateurs. Jean-Luc Dekeyser TD Architecture des ordinateurs Jean-Luc Dekeyser Fiche 1 Nombres de l informatique Exercice 1 Une entreprise désire réaliser la sauvegarde de ses données sur un site distant. Le volume de données à sauvegarder

Plus en détail

StruxureWare Power Monitoring v7.0. La nouvelle génération en matière de logiciel de gestion complète d énergie

StruxureWare Power Monitoring v7.0. La nouvelle génération en matière de logiciel de gestion complète d énergie StruxureWare Power Monitoring v7.0 La nouvelle génération en matière de logiciel de gestion complète d énergie Évolution des deux plate-formes originales Power Monitoring v7.0 SMS ION Enterprise 2012 Struxureware

Plus en détail

Module Administration BD Chapitre 1 : Surcouche procédurale dans les SGBDS

Module Administration BD Chapitre 1 : Surcouche procédurale dans les SGBDS Module Administration BD Chapitre 1 : Surcouche procédurale dans les SGBDS 1. Introduction Nous allons aborder la notion de surcouche procédurale au sein des SGBDS relationnels tels que Oracle (PLSQL)

Plus en détail

Dans l idéal, ceci devrait être fait en amont pour chaque image envoyée sur l espace de stockage de votre site internet.

Dans l idéal, ceci devrait être fait en amont pour chaque image envoyée sur l espace de stockage de votre site internet. 1- Optimiser le poids de votre image : Dans l idéal, ceci devrait être fait en amont pour chaque image envoyée sur l espace de stockage de votre site internet. Tous les types d utilisateurs (auteur, publicateur,

Plus en détail

Algorithmique & programmation

Algorithmique & programmation Algorithmique & programmation Type structuré Article, Enregistrement, Structure Définition de nouveaux types!! On a vu les types simples "! entier, booléen, caractère, chaîne de caractères!! Comment gérer

Plus en détail

TD : Codage des images

TD : Codage des images TD : Codage des images Les navigateurs Web (Netscape, IE, Mozilla ) prennent en charge les contenus textuels (au format HTML) ainsi que les images fixes (GIF, JPG, PNG) ou animée (GIF animée). Comment

Plus en détail

Matériel & Logiciels (Hardware & Software)

Matériel & Logiciels (Hardware & Software) CHAPITRE 2 HARDWARE & SOFTWARE P. 1 Chapitre 2 Matériel & Logiciels (Hardware & Software) 2.1 Matériel (Hardware) 2.1.1 Présentation de l'ordinateur Un ordinateur est un ensemble de circuits électronique

Plus en détail

Compléments de documentation Scilab : affichage de texte et formatage de nombres

Compléments de documentation Scilab : affichage de texte et formatage de nombres Université des Sciences et Technologies de Lille U.F.R. de Mathématiques Pures et Appliquées Agrégation externe Année 2002-2003 Compléments de documentation Scilab : affichage de texte et formatage de

Plus en détail

Comment Utiliser les Versions, les Modification, les Comparaisons, Dans les Documents

Comment Utiliser les Versions, les Modification, les Comparaisons, Dans les Documents Comment Utiliser les Versions, les Modification, les Comparaisons, Dans les Documents Diffusé par Le Projet Documentation OpenOffice.org Table des Matières 1. Les Versions...3 2. Les Modifications...5

Plus en détail

THEME 1 : L ORDINATEUR ET SON ENVIRONNEMENT. Objectifs

THEME 1 : L ORDINATEUR ET SON ENVIRONNEMENT. Objectifs Architecture Matérielle des Systèmes Informatiques. S1 BTS Informatique de Gestion 1 ère année THEME 1 : L ORDINATEUR ET SON ENVIRONNEMENT Dossier 1 L environnement informatique. Objectifs Enumérer et

Plus en détail

Projet Matlab : un logiciel de cryptage

Projet Matlab : un logiciel de cryptage Projet Matlab : un logiciel de cryptage La stéganographie (du grec steganos : couvert et graphein : écriture) consiste à dissimuler une information au sein d'une autre à caractère anodin, de sorte que

Plus en détail

NOTICE D' UTILISATION CAMWORKS FRAISAGE. Luc Vallée Lycée Blaise Pascal Segré

NOTICE D' UTILISATION CAMWORKS FRAISAGE. Luc Vallée Lycée Blaise Pascal Segré NOTICE D' UTILISATION Luc Vallée Lycée Blaise Pascal Segré FRAISAGE SOMMAIRE allée Sciences et techniques Fiche n 1 - Généralités principe....page 3 Fiche n 2 - Lancer une application fraisage...page 7

Plus en détail

Procédure appropriée pour éditer les diagrammes avec ECM Titanium

Procédure appropriée pour éditer les diagrammes avec ECM Titanium Procédure appropriée pour éditer les diagrammes avec ECM Titanium Introduction: Dans ce document vous trouverez toutes les renseignements dont vous avez besoin pour éditer les diagrammes avec le logiciel

Plus en détail

Chapitre 4 : Les mémoires

Chapitre 4 : Les mémoires 1. Introduction: Chapitre 4 : Les mémoires Nous savons que dans un ordinateur toutes les informations : valeur numérique, instruction, adresse, symbole (chiffre, lettre,... etc.) sont manipulées sous une

Plus en détail

LECTEUR DE COMPACT DISC CDJ-400

LECTEUR DE COMPACT DISC CDJ-400 LECTEUR DE COMPACT DISC CDJ-400 Guide de contrôle DJS Guide de contrôle DJS Raccordez votre CDJ-400 (lecteur de compact disc) à votre ordinateur pour pouvoir commander les programmes sur votre, notamment

Plus en détail

lundi 3 août 2009 Choose your language What is Document Connection for Mac? Communautés Numériques L informatique à la portée du Grand Public

lundi 3 août 2009 Choose your language What is Document Connection for Mac? Communautés Numériques L informatique à la portée du Grand Public Communautés Numériques L informatique à la portée du Grand Public Initiation et perfectionnement à l utilisation de la micro-informatique Microsoft Document Connection pour Mac. Microsoft Document Connection

Plus en détail

Bien travailler sur plusieurs écrans

Bien travailler sur plusieurs écrans Bien travailler sur plusieurs écrans Pour améliorer votre confort sur votre ordinateur et travailler plus efficacement, vous pouvez lui ajouter un second voire un troisième écran. En étendant la surface

Plus en détail

Rapport de Mini-Projet en ArcGIS Engine

Rapport de Mini-Projet en ArcGIS Engine Rapport de Mini-Projet en ArcGIS Engine Réalisée par : Asmae BENMESSAOUD 3ème Année Cycle d Ingénieur Géoinformation Année Universitaire 2010- Sommaire 1 Introduction L analyse géographique et la visualisation

Plus en détail

CRÉER UN DVD VIDEO avec DVD FLICK (avec ou sans menu)

CRÉER UN DVD VIDEO avec DVD FLICK (avec ou sans menu) CRÉER UN DVD VIDEO avec DVD FLICK (avec ou sans menu) DVD Flick permet de convertir des vidéos de différents formats en DVD Vidéo (lisible sur un lecteur DVD de salon). Il supporte plus de 45 formats différents

Plus en détail

Once the installation is complete, you can delete the temporary Zip files..

Once the installation is complete, you can delete the temporary Zip files.. Sommaire Installation... 2 After the download... 2 From a CD... 2 Access codes... 2 DirectX Compatibility... 2 Using the program... 2 Structure... 4 Lier une structure à une autre... 4 Personnaliser une

Plus en détail

Insérer des images dans Base

Insérer des images dans Base Insérer des images dans Base Version 1.0 du 30.05.2006 Réalisé avec : OOo 2.0.2 Plate-forme / Os : Toutes Distribué par le projet fr.openoffice.org Sommaire 1 Présentation...3 2 Quelques notions initiales...3

Plus en détail

Guide de l utilisateur. Faites connaissance avec la nouvelle plateforme interactive de

Guide de l utilisateur. Faites connaissance avec la nouvelle plateforme interactive de Guide de l utilisateur Faites connaissance avec la nouvelle plateforme interactive de Chenelière Éducation est fière de vous présenter sa nouvelle plateforme i+ Interactif. Conçue selon vos besoins, notre

Plus en détail

SYSTEME DE PALPAGE A TRANSMISSION RADIO ETUDE DU RECEPTEUR (MI16) DOSSIER DE PRESENTATION. Contenu du dossier :

SYSTEME DE PALPAGE A TRANSMISSION RADIO ETUDE DU RECEPTEUR (MI16) DOSSIER DE PRESENTATION. Contenu du dossier : SYSTEME DE PALPAGE A TRANSMISSION RADIO ETUDE DU RECEPTEUR (MI16) DOSSIER DE PRESENTATION Contenu du dossier : 1. PRESENTATION DU SYSTEME DE PALPAGE A TRANSMISSION RADIO....1 1.1. DESCRIPTION DU FABRICANT....1

Plus en détail

Programmation Visual Basic. Visite guidée d'un programme Visual Basic 6.0

Programmation Visual Basic. Visite guidée d'un programme Visual Basic 6.0 UNIVERSITE DES SCIENCES SOCIALES DE TOULOUSE Licence Professionnelles LSi Master FC IGSI Programmation Visual Basic Visite guidée d'un programme Visual Basic 6.0 1. un exemple d'application a) créer dans

Plus en détail

Dossier technique. Présentation du bus DMX et Utilisation des options EL13 / EL14 ERM AUTOMATISMES INDUSTRIELS 1 LE PROTOCOLE DMX 2

Dossier technique. Présentation du bus DMX et Utilisation des options EL13 / EL14 ERM AUTOMATISMES INDUSTRIELS 1 LE PROTOCOLE DMX 2 ERM AUTOMATISMES INDUSTRIELS 280 Rue Edouard Daladier 84973 CARPENTRAS Cedex Tél : 04 90 60 05 68 - Fax : 04 90 60 66 26 Site : http://www.erm-automatismes.com/ E-Mail : Contact@erm-automatismes.com 1

Plus en détail

Mise en service HORUS version HTTP

Mise en service HORUS version HTTP HTTP 1 / 8 Mise en service HORUS version HTTP Date Indice Nature des modifications Rédaction Nom/visa 03/05/06 A Première rédaction XI Vérification Nom/visa Approbation Nom/visa HTTP 2 / 8 SOMMAIRE 1)

Plus en détail

Traitement numérique de l'image. Raphaël Isdant - 2009

Traitement numérique de l'image. Raphaël Isdant - 2009 Traitement numérique de l'image 1/ L'IMAGE NUMÉRIQUE : COMPOSITION ET CARACTÉRISTIQUES 1.1 - Le pixel: Une image numérique est constituée d'un ensemble de points appelés pixels (abréviation de PICture

Plus en détail

nom : Collège Ste Clotilde

nom : Collège Ste Clotilde UNE CONFIGURATION INFORMATIQUE Objectif : Identifier les éléments principaux d une configuration L ordinateur enregistre des données qu il traite pour produire un résultat Sifflements 20 Notice 12 attache

Plus en détail

FONCTION COMPTAGE BINAIRE ET DIVISION DE FRÉQUENCE

FONCTION COMPTAGE BINAIRE ET DIVISION DE FRÉQUENCE I/ GÉNÉRALITÉS I.1/ Fonction Un compteur binaire est utilisé : -pour compter un certain nombre d'évènements binaires -pour diviser la fréquence d'un signal logique par 2 m Page 1 FONCTION COMPTAGE BINAIRE

Plus en détail

CONVERTISSEURS NA ET AN

CONVERTISSEURS NA ET AN Convertisseurs numériques analogiques (xo Convertisseurs.doc) 1 CONVTIU NA T AN NOT PLIMINAI: Tous les résultats seront exprimés sous formes littérales et encadrées avant les applications numériques. Les

Plus en détail

AIDE à l utilisation du cédérom «L athlétisme à l école» Niveau Primaire SOMMAIRE

AIDE à l utilisation du cédérom «L athlétisme à l école» Niveau Primaire SOMMAIRE AIDE à l utilisation du cédérom «L athlétisme à l école» Niveau Primaire SOMMAIRE Arborescence du cédérom (page 2) Lancement du Cédérom et configuration minimale de votre ordinateur (page 3). Loupe, page

Plus en détail

Publication Assistée par Ordinateur

Publication Assistée par Ordinateur Présentation OpenOffice Draw est l'outil de dessin vectoriel de la suite bureautique OpenOffice. De fait, Draw permet de réaliser certains documents comparables à ceux de Publisher, le logiciel de P.A.O.

Plus en détail

Leçon 1 : Les principaux composants d un ordinateur

Leçon 1 : Les principaux composants d un ordinateur Chapitre 2 Architecture d un ordinateur Leçon 1 : Les principaux composants d un ordinateur Les objectifs : o Identifier les principaux composants d un micro-ordinateur. o Connaître les caractéristiques

Plus en détail

Direction des Systèmes d'information

Direction des Systèmes d'information DEPLOIEMENT DU CLIENT SSL SSL VPN Direction des Systèmes d'information Auteur GDS Référence 2010-GDS-DPT Version Date /2010 Nb. Pages 8 Sujet Ce document décrit le déploiement du logiciel «SSL VPN Client»

Plus en détail

Ordinateurs, Structure et Applications

Ordinateurs, Structure et Applications Ordinateurs, Structure et Applications Cours 10, Les interruptions Etienne Tremblay Université Laval, Hiver 2012 Cours 10, p.1 Les interruptions du 8086 Une interruption interrompt l exécution séquentielle

Plus en détail

Architecture des ordinateurs

Architecture des ordinateurs Architecture des ordinateurs Cours 4 5 novembre 2012 Archi 1/22 Micro-architecture Archi 2/22 Intro Comment assembler les différents circuits vus dans les cours précédents pour fabriquer un processeur?

Plus en détail

Réalisation de cartes vectorielles avec Word

Réalisation de cartes vectorielles avec Word Réalisation de cartes vectorielles avec Word Vectorisation de la carte Après avoir scanné ou avoir récupéré un fond de carte sur Internet, insérez-la dans votre fichier Word : Commencez par rendre visible

Plus en détail

Ordinateurs, Structure et Applications

Ordinateurs, Structure et Applications Ordinateurs, Structure et Applications Cours 19, Le USB Etienne Tremblay Université Laval, Hiver 2012 Cours 19, p.1 USB signifie Universal Serial Bus USB Le USB a été conçu afin de remplacer le port série

Plus en détail

Travaux pratiques 1.3.2 Détermination de la capacité de stockage des données

Travaux pratiques 1.3.2 Détermination de la capacité de stockage des données Travaux pratiques 1.3.2 Détermination de la capacité de stockage des données Objectifs Déterminer la quantité de mémoire RAM (en Mo) installée sur un ordinateur Déterminer la taille de l unité de disque

Plus en détail

PROJECTEUR DE DONNEES XJ-A135/XJ-A145/XJ-A155/ XJ-A245/XJ-A255

PROJECTEUR DE DONNEES XJ-A135/XJ-A145/XJ-A155/ XJ-A245/XJ-A255 PROJECTEUR DE DONNEES XJ-A135/XJ-A145/XJ-A155/ XJ-A245/XJ-A255 F Projecteur de données Guide des fonctions sans fil Veillez à lire les précautions dans le Mode d emploi (Opérations de base) fourni avec

Plus en détail

1 è r e étape : créer sa base de d o n n é e s

1 è r e étape : créer sa base de d o n n é e s Le publipostage (ou mailing) permet à un document (le document principal créé avec Open Office Writer) de profiter des informations se trouvant dans un autre document (la source de données créée avec Open

Plus en détail

Hiérarchie matériel dans le monde informatique. Architecture d ordinateur : introduction. Hiérarchie matériel dans le monde informatique

Hiérarchie matériel dans le monde informatique. Architecture d ordinateur : introduction. Hiérarchie matériel dans le monde informatique Architecture d ordinateur : introduction Dimitri Galayko Introduction à l informatique, cours 1 partie 2 Septembre 2014 Association d interrupteurs: fonctions arithmétiques élémentaires Elément «NON» Elément

Plus en détail

Utilisation du logiciel GALAAD

Utilisation du logiciel GALAAD 1 Sommaire: Présentation du logiciel GALAAD 1. Démarrer le programme........ 2. Présentation de l écran du logiciel....... Les barres d'outils, sauvegarder... 3. Créer un nouveau fichier........ 4. Préparer

Plus en détail

AGASC / BUREAU INFORMATION JEUNESSE 06700 Saint Laurent du Var Tel : 04.93.07.00.66 E mail : bij@agasc.fr CONSEILS ET ASTUCES

AGASC / BUREAU INFORMATION JEUNESSE 06700 Saint Laurent du Var Tel : 04.93.07.00.66 E mail : bij@agasc.fr CONSEILS ET ASTUCES CONSEILS ET ASTUCES 1. ENVOI COURRIER à partir d un document initial Procédure à suivre pour utiliser son logiciel de messagerie outlock Je sélectionne mon document «clic droit» Je fais glisser mon curseur

Plus en détail

ACTIVITÉ DE PROGRAMMATION

ACTIVITÉ DE PROGRAMMATION ACTIVITÉ DE PROGRAMMATION The purpose of the Implementation Process is to realize a specified system element. ISO/IEC 12207 Sébastien Adam Une introduction 2 Introduction Ø Contenu Utilité de l ordinateur,

Plus en détail

Créer des étiquettes avec les adresses d'un tableau Calc

Créer des étiquettes avec les adresses d'un tableau Calc Créer des étiquettes avec les adresses d'un tableau Calc Il faudra au préalable avoir déjà créé le tableau contenant les adresses avec Calc. Il y a trois étapes différentes pour réaliser des étiquettes

Plus en détail

Board (Tablette) Manuel de l utilisateur. Windows 7 / XP / Vista

Board (Tablette) Manuel de l utilisateur. Windows 7 / XP / Vista Board (Tablette) Manuel de l utilisateur Windows 7 / XP / Vista *Il se peut que le pilote ait déjà été mis à jour sur une version plus récente avant/après l emballage. Si votre écran d installation ne

Plus en détail

Interface PC Vivago Ultra. Pro. Guide d'utilisation

Interface PC Vivago Ultra. Pro. Guide d'utilisation Interface PC Vivago Ultra Pro Guide d'utilisation Version 1.03 Configuration de l'interface PC Vivago Ultra Configuration requise Avant d'installer Vivago Ultra sur votre ordinateur assurez-vous que celui-ci

Plus en détail

Software and Hardware Datasheet / Fiche technique du logiciel et du matériel

Software and Hardware Datasheet / Fiche technique du logiciel et du matériel Software and Hardware Datasheet / Fiche technique du logiciel et du matériel 1 System requirements Windows Windows 98, ME, 2000, XP, Vista 32/64, Seven 1 Ghz CPU 512 MB RAM 150 MB free disk space 1 CD

Plus en détail

Découverte de l ordinateur. Partie matérielle

Découverte de l ordinateur. Partie matérielle Découverte de l ordinateur Partie matérielle SOMMAIRE I L ORDINATEUR ET SES PERIPHERIQUES... 3 1-1 : GENERALITES... 3 1-2 : LES PERIPHERIQUES D ENTREE ET DE SORTIE... 3 II L UNITE CENTRALE... 4 2-1 : GENERALITES...

Plus en détail

Pop-Art façon Roy Liechtenstein

Pop-Art façon Roy Liechtenstein 1 sur 6 22/01/2010 22:35 Pop-Art façon Roy Liechtenstein Ce tutoriel peut paraître loin de la photographie, mais il contient des techniques très utiles, voire indispensables en matière de retouche. 1 -

Plus en détail

Choisir entre le détourage plume et le détourage par les couches.

Choisir entre le détourage plume et le détourage par les couches. Choisir entre le détourage plume et le détourage par les couches. QUEL CHOIX D OUTILS ET QUELLE METHODE, POUR QUEL OBJECTIF? Il existe différentes techniques de détourage. De la plus simple à la plus délicate,

Plus en détail

Fiche Mémo : Options d accessibilité sous Windows et Internet Explorer 5

Fiche Mémo : Options d accessibilité sous Windows et Internet Explorer 5 Fiche Mémo : Options d accessibilité sous Windows et Internet Explorer 5 I. Général II. Affichage : taille icônes et écran III. Le menu «Options d accessibilité» : contraste, curseur IV. Le clavier V.

Plus en détail

GUIDE D UTILISATION DU TABLEAU BLANC INTERACTIF EBEAM EDGE

GUIDE D UTILISATION DU TABLEAU BLANC INTERACTIF EBEAM EDGE GUIDE D UTILISATION DU TABLEAU BLANC INTERACTIF EBEAM EDGE Sommaire Installer ebeam : Calibrer le TBI ebeam : Fonctions Projection animée : 1ère utilisation : manipuler votre ordinateur depuis le tableau

Plus en détail

Comme chaque ligne de cache a 1024 bits. Le nombre de lignes de cache contenu dans chaque ensemble est:

Comme chaque ligne de cache a 1024 bits. Le nombre de lignes de cache contenu dans chaque ensemble est: Travaux Pratiques 3. IFT 1002/IFT 1005. Structure Interne des Ordinateurs. Département d'informatique et de génie logiciel. Université Laval. Hiver 2012. Prof : Bui Minh Duc. Tous les exercices sont indépendants.

Plus en détail

Mes documents Sauvegardés

Mes documents Sauvegardés Mes documents Sauvegardés Guide d installation et Manuel d utilisation du logiciel Edition 13.12 Photos et illustrations : Copyright 2013 NordNet S.A. Tous droits réservés. Toutes les marques commerciales

Plus en détail

LOGICIEL DC4D MONITOR

LOGICIEL DC4D MONITOR THQtronic LOGICIEL DC4D MONITOR La communication entre PC et DC4D ne peut se faire qu à l aide du câble de liaison USB-TTL de FTDI référence TTL-232R-5V-AJ vendu en option. DC4D Monitor est la même application

Plus en détail

IFT1215 Introduction aux systèmes informatiques

IFT1215 Introduction aux systèmes informatiques Introduction aux circuits logiques de base IFT25 Architecture en couches Niveau 5 Niveau 4 Niveau 3 Niveau 2 Niveau Niveau Couche des langages d application Traduction (compilateur) Couche du langage d

Plus en détail

Langage propre à Oracle basé sur ADA. Offre une extension procédurale à SQL

Langage propre à Oracle basé sur ADA. Offre une extension procédurale à SQL Cours PL/SQL Langage propre à Oracle basé sur ADA Offre une extension procédurale à SQL PL/SQL permet d utiliser un sous-ensemble du langage SQL des variables, des boucles, des alternatives, des gestions

Plus en détail

Atelier "Gestion de fichiers"

Atelier Gestion de fichiers Atelier "Gestion de fichiers" Organisé par le Ginf les 24 et 31 janvier 2011 à Cité seniors Travaux pratiques 1 Exercice 1: Création de fichiers But de cet exercice: Apprendre à créer rapidement un fichier

Plus en détail

Sous réserve de modifications techniques et des disponibilités, fabrication française.

Sous réserve de modifications techniques et des disponibilités, fabrication française. Sous réserve de modifications techniques et des disponibilités, fabrication française. Table des matières PARTIE 1 : GÉNÉRALITÉS CHAPITRE 1 : FONCTIONNEMENT GÉNÉRAL Fonctionnement général... 5 CHAPITRE

Plus en détail

Table des matières. F. Saint-Germain / S. Carasco Document réalisé avec OpenOffice.org Page 1/13

Table des matières. F. Saint-Germain / S. Carasco Document réalisé avec OpenOffice.org Page 1/13 Voici un petit tutoriel d'utilisation du tableau numérique de la marque promethean. Ce tutoriel est loin d'être complet, il permet juste une première approche simple des outils de base du logiciel ACTIVstudio.

Plus en détail

MEGA ITSM Accelerator. Guide de Démarrage

MEGA ITSM Accelerator. Guide de Démarrage MEGA ITSM Accelerator Guide de Démarrage MEGA 2009 SP4 1ère édition (juin 2010) Les informations contenues dans ce document pourront faire l objet de modifications sans préavis et ne sauraient en aucune

Plus en détail

IMAGES NUMÉRIQUES MATRICIELLES EN SCILAB

IMAGES NUMÉRIQUES MATRICIELLES EN SCILAB IMAGES NUMÉRIQUES MATRICIELLES EN SCILAB Ce document, écrit par des animateurs de l IREM de Besançon, a pour objectif de présenter quelques unes des fonctions du logiciel Scilab, celles qui sont spécifiques

Plus en détail

SpeechiTablet Notice d utilisation

SpeechiTablet Notice d utilisation SpeechiTablet Notice d utilisation Copyright(C) 2003-2009 Speechi Web: www.speechi.net Tel: 03-20-34-74-25 Fax: 03-20-34-74-26 E-mail: info@speechi.net Version 1.0.0 1. Introduction... 3 1.1. Contenu de

Plus en détail

MANUEL D UTILISATION PRO-FACE

MANUEL D UTILISATION PRO-FACE MANUEL D UTILISATION PRO-FACE SOMMAIRE Chapitre 1 Procédure d utilisation 1. En créant un écran seul..... 2. En créant un écran plus un programme logique.. 1-1 1-2 Chapitre 2 Du début à la fin 1. Guide.....

Plus en détail

Fiche technique CPU 315SN/PN (315-4PN33)

Fiche technique CPU 315SN/PN (315-4PN33) Fiche technique CPU 315SN/PN (315-4PN33) Données techniques N de commande 315-4PN33 Information générale Note - Caractéristiques SPEED-Bus - Données techniques de l'alimentation Alimentation (valeur nominale)

Plus en détail

Summary / Sommaire. 1 Install DRIVER SR2 USB01 Windows seven 64 bits / Installation du DRIVER SR2 USB01 Windows seven 64 bits 2

Summary / Sommaire. 1 Install DRIVER SR2 USB01 Windows seven 64 bits / Installation du DRIVER SR2 USB01 Windows seven 64 bits 2 Summary / Sommaire 1 Install DRIVER SR2 USB01 Windows seven 64 bits / Installation du DRIVER SR2 USB01 Windows seven 64 bits 2 1.1 Driver Installation SR2 USB01 Windows Seven 64 bits (english) 2 1.1.1

Plus en détail

Encoder 1.60. Encoder 1 sur 15. Codification fil par étage 15 étages max. + 2 flèches + signal de mouvement. Raccordements 0.1 mm²...

Encoder 1.60. Encoder 1 sur 15. Codification fil par étage 15 étages max. + 2 flèches + signal de mouvement. Raccordements 0.1 mm²... Displays ACCESSOIRES AFFICHEURS Encoder Encoder 1 sur 1 Le dispositif Encoder est nécessaire pour une codification 1 fil par étage avec des afficheurs conçus pour code binaire. Le dispositif Encoder convertit

Plus en détail

Conservez la documentation à portée de main pour toute référence future. Le terme «pointeur» dans ce manuel désigne le pointeur interactif YA-P10.

Conservez la documentation à portée de main pour toute référence future. Le terme «pointeur» dans ce manuel désigne le pointeur interactif YA-P10. Presentation Draw F Mode d emploi Conservez la documentation à portée de main pour toute référence future. Le terme «pointeur» dans ce manuel désigne le pointeur interactif YA-P10. Microsoft, Windows et

Plus en détail

Gestion d un VIDÉOPROJECTEUR. ou d un ÉCRAN SECONDAIRE

Gestion d un VIDÉOPROJECTEUR. ou d un ÉCRAN SECONDAIRE Gestion d un VIDÉOPROJECTEUR ou d un ÉCRAN SECONDAIRE Version 3 -- 15 juin 2011 Préparé par Gilles Jacques Table des matières Sélection de l écran secondaire sur Windows XP. 3 Sélection de l écran secondaire

Plus en détail

Utilisation de JAVA coté Application serveur couplé avec Oracle Forms Hafed Benteftifa www.degenio.com Novembre 2008

Utilisation de JAVA coté Application serveur couplé avec Oracle Forms Hafed Benteftifa www.degenio.com Novembre 2008 Introduction Utilisation de JAVA coté Application serveur couplé avec Oracle Forms Hafed Benteftifa www.degenio.com Novembre 2008 Forms 10g permet l utilisation du JAVA côté client et côté application

Plus en détail

pcon.planner 6 Préparer et présenter une implantation en toute simplicité

pcon.planner 6 Préparer et présenter une implantation en toute simplicité pcon.planner 6 Préparer et présenter une implantation en toute simplicité Sommaire 1. Installation :... 3 2. Démarrer le logiciel :... 3 3. Interface :... 3 4. Naviguer :... 4 5. Réaliser une implantation

Plus en détail

Chap17 - CORRECTİON DES EXERCİCES

Chap17 - CORRECTİON DES EXERCİCES Chap17 - CORRECTİON DES EXERCİCES n 3 p528 Le signal a est numérique : il n y a que deux valeurs possibles pour la tension. Le signal b n est pas numérique : il y a alternance entre des signaux divers

Plus en détail

Introduction : Cadkey

Introduction : Cadkey Introduction Cadkey Cadkey est un logiciel de dessin assisté par ordinateur. La fenêtre du logiciel devrait ressembler à quelque chose comme suit: Le menu supérieur: Redraw Autoscale Efface Modifier les

Plus en détail

Diagrammes de Package, de déploiement et de composants UML

Diagrammes de Package, de déploiement et de composants UML labsticc.univ-brest.fr/pages_perso/babau/ Diagrammes de Package, de déploiement et de composants UML Jean-Philippe Babau Département Informatique, UFR Sciences, Laboratoire Lab-STICC 2 1 Plan Description

Plus en détail