LES CIRCUITS LOGIQUES PROGRAMMABLES ALTERA

Dimension: px
Commencer à balayer dès la page:

Download "LES CIRCUITS LOGIQUES PROGRAMMABLES ALTERA"

Transcription

1 ENSEIRB LES CIRCUITS LOGIQUES PROGRAMMABLES ALTERA Laurent DULAU Patrice KADIONIK http : kadionik@enseirb.fr : / 70 - CETTE FORMATION EN LIGNE PRESENTE LES PRINCIPAUX COMPOSANTS ET OUTILS D ALTERA ON TROUVERA DES INFORMATIONS : - UNE PRESENTATION DES PRINCIPALES FAMILLES DE COMPOSANTS PROGRAMMABLES - UNE PRESENTATION DE L OUTIL MAX+PLUS II - UNE PRESENTATION DU LANGAGE AHDL - UNE PRESENTATION DU LANGAGE VHDL - UN EXEMPLE DE CONCEPTION AVEC L OUTIL MAX+PLUS II - 2 / 70 - Introduction à la logique programmable Pas vraiment des ASICs. Personnalisation et mise en œuvre simple. Composant directement disponible sur catalogue. Pas de retour chez le fabricant sauf pour les versions masquables. PAL, GAL, PLD, EPLD, FPGA 4 technologies : EPROM, EEPROM, SRAM, ANTIFUSIBLE Les circuits logiques programmables Altera ENSEIRB - 3 / 70 -

2 2 architectures : Les PLD : EPROM, EEPROM (Programmable Logic Device) Ce sont des assemblages de macro-cellules fonctionnelles disposant de beaucoup d entrées/sorties placées sur la pastille de part et d autre d une zone centrale où sont regroupées toutes les connexions à réaliser. - 4 / 70 - Les FPGA : SRAM, ANTIFUSIBLE (Field Programmable Gate Array) Provenant des réseaux de portes, conservent de leurs origines une structure en nombreux petits îlots entourés chacun par des lignes où ils se connectent à la demande. - 5 / 70 - Fabricants / technologies ACTEL ALTERA AMD ATMEL LATTICE XILINX ANTIFUSE, SRAM EPROM, EEPROM, SRAM EEPROM SRAM EPROM, EEPROM SRAM, ANTIFUSE, EPROM, EEPROM - 6 / 70 -

3 La technologie EEPROM Les EPLD à EPROM sont programmables électriquement et effaçables aux UV. Par contre les EPLD à EEPROM se reprogramment quasi instantanément et conservent la configuration donnée jusqu à ce qu une autre la remplace même en l absence de tension. La technologie SRAM Rapide et facile à programmer. (!) La configuration du composant s efface dès que la tension disparaît. - 7 / 70 - ALTERA Historique La société ALTERA est fondée en 1984 Premier circuit ALTERA commercialisé en EUROPE par TEKELEC en / 70 - ALTERA 8 familles : Classic (EPROM) MAX5000 (EPROM) FLASHlogic (SRAM & FLASH) MAX7000 (E 2 PROM) MAX9000 (E 2 PROM) FLEX 6K (SRAM) FLEX 8K (SRAM) FLEX 10K (SRAM) Les circuits logiques programmables Altera ENSEIRB - 9 / 70 -

4 ALTERA/CLASSIC Architecture : EPLD Techno : CMOS EPROM Nbre de portes : Nbre d E/S : Fmax : MHz I/O drive : 25 ma - 10 / 70 - ALTERA/CLASSIC architecture Caractéristiques : EPLD très rapide Faible consommation Les circuits logiques programmables Altera ENSEIRB - 11 / 70 - ALTERA/MAX 5000 Architecture : EPLD type MAX (Multiple Array matrix) Techno : CMOS 0.65µm EPROM Nbre de portes : Nbre d E/S : Fmax : MHz I/O drive : 25 ma - 12 / 70 -

5 architecture ALTERA/MAX / 70 - ALTERA/MAX 5000 Caractéristiques : EPLD rapide Faible coût Utilisation facile Applications : Décodeur d adresses 20 pins Périphériques LSI 100 pins - 14 / 70 - ALTERA/FLASHlogic Architecture : PLD Techno : CMOS 0.65µm SRAM Nbre de portes : Nbre d E/S : Fmax : 80 MHz I/O drive : 25 ma - 15 / 70 -

6 architecture ALTERA/FLASHlogic - 16 / 70 - ALTERA/FLASHlogic Caractéristiques : PLD rapide Faible coût Utilisation facile Applications : Décodeur d adresses 20 pins Périphériques LSI 100 pins - 17 / 70 - ALTERA/MAX 7000 Architecture : CPLD type MAX (Multiple Array matrix) Techno : CMOS 0.6µm E 2 PROM Nbre de portes : Nbre d E/S : Tpd : 5-10 ns I/O drive : 25 ma - 18 / 70 -

7 ALTERA/MAX 7000 Architecture - 19 / 70 - ALTERA/MAX 7000 Caractéristiques : Très rapide Très dense Grand nombre d E/S 3.3V/5V sur les E/S (5V sur le cœur) ISP (MAX 7000S) par bus JTAG Test JTAG Boundary Scan (MAX 7000S) Délais prédictibles (interconnections continues) - 20 / 70 - ALTERA/MAX 9000 Architecture : EPLD type MAX (Multiple Array matrix) Techno : CMOS 0.65µm E 2 PROM Nbre de portes : Nbre d E/S : Tpd : ns I/O drive : 25m A - 21 / 70 -

8 Architecture ALTERA/MAX / 70 - ENSEIRB ALTERA/MAX 9000 Caractéristiques : Très rapide Très dense Grand nombre d E/S 3.3V/5V sur les E/S (5V sur le cœur) ISP par bus JTAG Test JTAG Boundary Scan Délais prédictibles (interconnections continues) Programmation en chaîne par bus JTAG via le module Bit Blaster Les circuits logiques programmables Altera - 23 / 70 - ALTERA/FLEX 6000 Architecture : FPGA type OptiFLEX (Flexible Logic Element matrix) Techno : CMOS 0.35 ou 0.5µm SRAM Nbre de portes : 5000 à Nbre d E/S : 160 à 215 Tpd : I/O drive : 25 ma - 24 / 70 -

9 Architecture ALTERA/FLEX / 70 - ALTERA/FLEX 6000 Caractéristiques : faible consommation (1mA en stand by) Très dense Compatible norme PCI 3.3V/5V Test JTAG Boundary Scan Programmation en chaîne par bus JTAG via le module Bit Blaster - 26 / 70 - ALTERA/FLEX 8000 Architecture : FPGA type FLEX (Flexible Logic Element matrix) Techno : CMOS 0.65µm SRAM Nbre de portes : Nbre d E/S : Tpd : I/O drive : 25 ma - 27 / 70 -

10 Architecture ALTERA/FLEX / 70 - ALTERA/FLEX 8000 Caractéristiques : faible consommation (1mA en standby) Très dense Grand nombre d E/S 3.3V/5V sur les E/S (5V sur le cœur) Test JTAG Boundary Scan Programmation en chaîne par bus JTAG via le module Bit Blaster - 29 / 70 - ALTERA/FLEX 10K Architecture : Mer de portes type FLEX (Flexible Logic Element matrix) Techno : CMOS 0.5µm SRAM Nbre de portes : ( Déc97) Nbre d E/S : Tpd : I/O drive : 25 ma - 30 / 70 -

11 Architecture ALTERA/FLEX 10K - 31 / 70 - ENSEIRB ALTERA/FLEX 10K Caractéristiques : faible consommation (1mA en stand by) Très dense Grand nombre d E/S 3.3V/5V sur les E/S (5V sur le cœur) Test JTAG Boundary Scan Programmation en chaîne par bus JTAG via le module Bit Blaster 6K-24K de RAM Les circuits logiques programmables Altera - 32 / 70 - ALTERA/FLEX 10K Applications : Petites mémoires (SRAM, FIFO) DSP et filtrage numérique Unité Arithmétique ATM, interface PCI / 70 -

12 Plate-forme : Windows3.1, NT, 95, SUNOS, SOLARIS Entrée du design Compilation du design Vérification du design - 34 / 70 - Les différents menus MAX+PLUS II FILE - 35 / 70 - ASSIGN OPTIONS - 36 / 70 -

13 HELP - 37 / 70 - Les Principaux icones Floorplan Editor Compiler Hierarchy Display Simulator Timing Analyzer Programmer Open File Project Name Help Top level design File Save input file and check Save input design file and compile Save input file and Simule New File - 38 / 70 - Editeur graphique double click pour insérer un composant - 39 / 70 -

14 Editeur de symbole - 40 / 70 - Le langage AHDL Altera very High Speed Integrated Circuit Hardware Description Language Langage de description créé par Altera Plus souple et plus proche du composant que son grand frère VHDL Non exportable Possibilité par exemple d inclure des composants des bibliothèques Altera comme ceux de la serie 74xx ou de la librairie primaire comme par exemple une bacule D DFF Machine d état et compteur facile à mettre en œuvre Description par table Choix possible du type de composant Altera depuis le fichier Rétroannotation possible après compilation - 41 / 70 - Corps d un fichier AHDL du type name.tdf DESIGN IS 'name' DEVICE IS '7032LC44'; %choix d'un composant% CONSTANT x=n; par exemple countmax =14 pour un compteur INCLUDE "4count" appel au compteur 4count de la bibliothèque SUBDESIGN IS 'name' ( in1 : INPUT = constante; in2 : INPUT; inout1 : BIDIR; out1,out2[16..0] : OUTPUT; state_machine_1 : MACHINE INPUT; state_machine_1 : MACHINE OUTPUT; ) VARIABLE %type de composant% Q[n..0]: DFF; n+1 bacule D appelée Q0 à Qn cpt: 4count;cpt est du type 4count ss: MACHINE WITH STATES (S0, S1, S2); ss est une machine d'état BEGIN END; - 42 / 70 -

15 LES STRUCTURES IMPORTANTES FOR index_variable IN range GENERATE END GENERATE; IF expression THEN ELSIF expression THEN ELSE END IF; CASE expression IS WHEN constant_value => WHEN constant_value => WHEN OTHERS => END CASE; - 43 / 70 - TABLE node_name, node_name => node_name, node_name; input_value, input_value => output_value, output_value; TABLE DE VERITE input_value, input_value => output_value, output_value; input_value, input_value => output_value, output_value; END TABLE; VARIABLE ss : MACHINE WITH STATES (etat0,etat1,etat2); BEGIN ss.clk = clk; ss.reset=reset; CASE ss IS WHEN etat0 THEN GRAPHE D ETATS a=gnd; b=vcc; ss=etat1; WHEN etat1 THEN etc... END; - 44 / 70 - Le langage VHDL Very High Speed Integrated Circuit Hardware Description Language Normalisation des langages de description ( norme IEEE /93 ) Uniformiser l apprentissage Portabilité Bibliothèques Un modèle VHDL peut être : Comportemental : Décrit la fonctionnalité d un objet par un algorithme séquentiel, ou table de vérité, sans référence à une structure d implémentation quelconque. Flux de données : Décrit le flux entre entrée et sortie au niveau bit, par des équations élémentaires. Structurel : Décrit la constitution de l objet en un ensemble d objets élémentaires interconnectés ( proche du schéma ) / 70 -

16 Structure hiérarchique d un modèle VHDL Unité de conception primaire : vue externe d un objet Entités (ENTITY) boite noire associé à une architecture décrivant la hiérarchie et le comportement de cette boite. Paquetage (PACKAGE) ensemble de déclarations de composants, utilisable comme une bibliothèque. Les composants sont définis dans d autres fichier VHDL. Unité de conception secondaire : modèle interne d un objet Architecture relative à une entité (ARCHITECTURE) - 46 / 70 - Syntaxe VHDL Ecriture des instructions : séquentielle ( Langage de programmation classique ) Exécution : concurrente Processus : une instruction concurrente = un processus contient des instructions séquentielles 1 composant = ensemble de processus simultanés s exécutant de manière asynchrone et communiquant à travers des signaux classe d objets : constantes variables ( affectation immédiate, :=) signaux spécifiques à la description de l objet affectation séquentielle ( <= ) - 47 / 70 - Corps d un fichier VHDL du type name.vhd LIBRARY library_name; ENTITY entity_name IS PORT( input1, input2 : IN STD_LOGIC; input_vector : IN STD_LOGIC_VECTOR(high downto low); bidir1, bidir2 : INOUT STD_LOGIC; output1, output2: OUT STD_LOGIC); END entity_name; ARCHITECTURE a OF entity_name IS SIGNAL signal_name : STD_LOGIC; SIGNAL signal_name : STD_LOGIC; BEGIN END a; - 48 / 70 -

17 LES PROCESSUS CONCCURENTS --Une architecture contient généralement un processus. process_label: PROCESS VARIABLE variable1 : STD_LOGIC; VARIABLE variable2 : STD_LOGIC; BEGIN WAIT UNTIL clk_signal = '1'; si le processus est séquentiel -- Signal Assignment Statement -- Variable Assignment Statement -- Procedure Call Statement -- If Statement -- Case Statement -- Loop Statement END PROCESS process_label; - 49 / 70 - CASE expression IS WHEN constant_value => WHEN constant_value => WHEN OTHERS => END CASE; LES STRUCTURES IMPORTANTES IF expression THEN ELSIF expression THEN ELSE END IF; loop_label: FOR index_variable IN range LOOP END LOOP loop_label; - 50 / 70 - UTILISER DES COMPOSANTS DE VOTRE BIBLIOTHEQUE PACKAGE package_name IS COMPONENT component_name -- Type Declaration PORT( -- Subtype Declaration input1, input2 : IN STD_LOGIC; -- Constant Declaration bidir1, bidir2 : INOUT STD_LOGIC; -- Signal Declaration output1, output2 : OUT STD_LOGIC); -- Component Declaration END COMPONENT; END package_name; --utiliser ce composant dans votre schéma : instance_name: component_name PORT MAP ( formal_parameter => actual_parameter, formal_parameter => actual_parameter); - 51 / 70 -

18 Les librairies la librairie Primaire portes, bascules, entrées, sorties La librairie EDIF (Electronic Design interchange Format) assure la compatibilité entre différentes sources ( Mentor, Cadence, Viewlogic) série 74xx... Les mégafonctions série74, multiplieur, compteur, registre... Les modules paramétrables LPM additionneur, multiplieur, UART, ROM, RAM, FIFO, FFT... intégrables au VHDL Le programme AMPP (Altera Megafunction Partners Program) (modules disponibles sur catalogue) DSP, MPEG, DCT, ATM, PCI - 52 / 70 - Le compilateur - 53 / 70 - Analyseur de temps 3 types d analyse : Delay Matrix Setup/Hold Matrix Registered Performance - 54 / 70 -

19 Editeur de hiérarchie - 55 / 70 - Editeur de courbes - 56 / 70 - Le simulateur - 57 / 70 -

20 Le programmateur - 58 / 70 - Editeur de Floorplan Placement externe - 59 / 70 - Placement interne - 60 / 70 -

21 L AIDE EN LIGNE - 61 / 70 - Le Bit Blaster Programmation des PLDs à partir d un PC ou d une station de travail par bus série ou JTAG Vitesse de transfert : 9600/ b/s Programme les familles MAX 9000 et MAX7000S Configure Les séries FLEX lors de la mise au point - 62 / 70 - Configuration des FLEX10K par EPROM VCC VCC FLEX10K EPC1 nconfig DCLK DATA0 nstatut DCLK DATA OE CONF_DONE MSEL0 nce MSEL1 ncs - 63 / 70 -

22 Norme IEEE de test du Joint Test Action Group. Test du composant sur le circuit imprimé. L interface comporte : Un registre de périphérie, le Boundary Scan Register. Un registre d instruction. L INTERFACE JTAG Le Boundary Scan Register C est un Registre à décalage - 64 / 70 - Le registre d instruction Impératifs de test : Observabilité : qualité des soudures. Contrôlabilité : Implantation du programme de test après fabrication puis configuration dédiéé à l application Commande JTAG : Issue de la norme (sample, extest, bypass. de reconnaissance (uescode : code utilisateur, idcode : code constructeur pour adressage et stockage / 70 - LE FREQUENCEMETRE OSCILLATEUR 1MHz 3 AFFICHEURS 7 SEGMENTS SELECTEUR DE GAMME DIVISEUR PROGRAMMABLE 3 DECODEURS BCD / 7 SEGMENTS GENERATEUR D'IMPULSION MEMORISATION ET COMPTAGE SIGNAL D'ENTREE - 66 / 70 -

23 DESIGN SOUS FORME DE SCHEMA UNITE COMPTEUR RF CLK 3 *BASCULES RETARD (2 inverseurs HEX) RESET 3 compteurs BCD CLK RAZ SIGNAL D'ENTREE - 67 / 70 - DECODEUR BCD / 7SEGMENTS DESIGN SOUS FORME AHDL : PROCEDER PAR TABLE DE VERITE digit a b c d e f g / 70 - DIVIVEUR DE FREQUENCE CLKIN DIVISEUR PAR 10 REALISE EN VHDL (div10.vhd) DIVISEUR PAR 10 REALISE EN VHDL (div10.vhd) DIVISEUR PAR 10 REALISE EN VHDL (div10.vhd) DIVISEUR PAR 10 REALISE EN VHDL (div10.vhd) DIVISEUR PAR 10 REALISE EN VHDL (div10.vhd) INIT INIT INIT INIT INIT INIT f e d MULTIPLEXEUR REALISE EN VHDL (mux.vhd) c CLKOUT REALISER UN FICHIER DIV10.VHD ET UN FICHIER MUX.VHD. FAIRE LE SCHEMA DIVISEUR.GDF b a choix - 69 / 70 -

24 F R E Q U E N C E M E T R E. G D F INIT HORLOGE CALIBRE DIVISEUR FREQUENCE_IN CLK CLR RAZ RF UNITES signal_input DIZAINES UNITE COMPTAGE COMPTEURBCD.GDF CENTAINES COMPTEUR Q1D Q1B & DECODEUR.TDF DECODEUR.TDF DECODEUR.TDF UNITES DIZAINES CENTAINES - 70 / 70 -

SIN-FPGA DESCRIPTION PAR SCHEMA

SIN-FPGA DESCRIPTION PAR SCHEMA SIN-FPGA DESCRIPTION PAR SCHEMA Documents ressources: http://www.altera.com/literature/lit-index.html Introduction to Quartus II : intro_to_quartus2.pdf Documentation QUARTUS II : quartusii_handbook.pdf

Plus en détail

Manipulations du laboratoire

Manipulations du laboratoire Manipulations du laboratoire 1 Matériel Les manipulations de ce laboratoire sont réalisées sur une carte électronique comprenant un compteur 4-bit asynchrone (74LS93) avec possibilité de déclenchement

Plus en détail

DOCUMENT PROTEGE PAR UN DROIT DE COPIE. CPLD ou FPGA Critères de choix. page 1

DOCUMENT PROTEGE PAR UN DROIT DE COPIE. CPLD ou FPGA Critères de choix. page 1 Une des caractéristiques du domaine des circuits programmables est d être résolument moderne, tirant parti des évolutions concernant les procédés technologiques, la propriété intellectuelle(ip), l Internet,

Plus en détail

Le langage VHDL. Eduardo Sanchez EPFL

Le langage VHDL. Eduardo Sanchez EPFL Le langage VHDL Eduardo Sanchez EPFL Livres conseillés: John F. Wakerly Digital design (4th edition) Prentice Hall, 2005 Peter J. Ashenden The designer's guide to VHDL (3rd edition) Morgan Kaufmann, 2008

Plus en détail

T. BLOTIN Lycée Paul-Eluard 93206 SAINT-DENIS

T. BLOTIN Lycée Paul-Eluard 93206 SAINT-DENIS T. BLOTIN Lycée Paul-Eluard 93206 SAINT-DENIS SOMMAIRE I. Le VHDL pour qui, pourquoi, quand, comment? A. Le VHDL!...... 1 B. Pourquoi un langage de description?...... 1 C. Les limites actuelles...... 2

Plus en détail

QUESTION 1 {2 points}

QUESTION 1 {2 points} ELE4301 Systèmes logiques II Page 1 de 8 QUESTION 1 {2 points} En se servant de paramètres électriques donnés dans le Tableau 1 ci-dessous, on désire déterminer la fréquence d opération du compteur présenté

Plus en détail

RÉALISATION ET MISE AU POINT D UN SYSTÈME DE TÉLÉSURVEILLANCE VIDÉO

RÉALISATION ET MISE AU POINT D UN SYSTÈME DE TÉLÉSURVEILLANCE VIDÉO E.N.S.E.R.B. Romain COMBELAS Frédéric BONNIN 3ème année. Option TIC MÉMOIRE DE FIN D ÉTUDES RÉALISATION ET MISE AU POINT D UN SYSTÈME DE TÉLÉSURVEILLANCE VIDÉO Encadré par : M. Patrice KADIONIK M. Yannick

Plus en détail

Conception Systèmes numériques VHDL et synthèse automatique des circuits

Conception Systèmes numériques VHDL et synthèse automatique des circuits Année 2008-2009 Conception Systèmes numériques VHDL et synthèse automatique des circuits Travaux pratiques Pentium4 Présentation du simulateur VHDL sous environnement Cadence Présentation de l outil Synopsys

Plus en détail

Conception Systèmes numériques VHDL et synthèse automatique des circuits

Conception Systèmes numériques VHDL et synthèse automatique des circuits Année 2011-2012 Conception Systèmes numériques VHDL et synthèse automatique des circuits Travaux pratiques WIDEMACV1 LAAS-CNRS 2011 Présentation du simulateur VHDL sous environnement Cadence Présentation

Plus en détail

Chapitre 1 : Introduction aux méthodologies de conception et de vérification pour SE

Chapitre 1 : Introduction aux méthodologies de conception et de vérification pour SE Chapitre 1 : Introduction aux méthodologies de conception et de vérification pour SE 1. Rappel de ce qu est un SE 2. Conception au niveau système (ESL) Méthodologie de conception (codesign logiciel/matériel)

Plus en détail

Introduction à l architecture des ordinateurs. Adrien Lebre Décembre 2007

Introduction à l architecture des ordinateurs. Adrien Lebre Décembre 2007 Introduction à l architecture des ordinateurs Adrien Lebre Décembre 2007 Plan - partie 1 Vue d ensemble La carte mère Le processeur La mémoire principal Notion de bus Introduction à l architecture des

Plus en détail

Hiérarchie matériel dans le monde informatique. Architecture d ordinateur : introduction. Hiérarchie matériel dans le monde informatique

Hiérarchie matériel dans le monde informatique. Architecture d ordinateur : introduction. Hiérarchie matériel dans le monde informatique Architecture d ordinateur : introduction Dimitri Galayko Introduction à l informatique, cours 1 partie 2 Septembre 2014 Association d interrupteurs: fonctions arithmétiques élémentaires Elément «NON» Elément

Plus en détail

EPREUVE OPTIONNELLE d INFORMATIQUE CORRIGE

EPREUVE OPTIONNELLE d INFORMATIQUE CORRIGE EPREUVE OPTIONNELLE d INFORMATIQUE CORRIGE QCM Remarque : - A une question correspond au moins 1 réponse juste - Cocher la ou les bonnes réponses Barème : - Une bonne réponse = +1 - Pas de réponse = 0

Plus en détail

Software and Hardware Datasheet / Fiche technique du logiciel et du matériel

Software and Hardware Datasheet / Fiche technique du logiciel et du matériel Software and Hardware Datasheet / Fiche technique du logiciel et du matériel 1 System requirements Windows Windows 98, ME, 2000, XP, Vista 32/64, Seven 1 Ghz CPU 512 MB RAM 150 MB free disk space 1 CD

Plus en détail

Conférence sur les microcontroleurs.

Conférence sur les microcontroleurs. Conférence sur les microcontroleurs. Le microcontrôleur Les besoins et le développement. Vers 1970, pour des calculs (calculatrice). Le premier est le 4004 de Intel, 90K. La technologie. Les 2 principales

Plus en détail

On distingue deux grandes catégories de mémoires : mémoire centrale (appelée également mémoire interne)

On distingue deux grandes catégories de mémoires : mémoire centrale (appelée également mémoire interne) Mémoire - espace destiné a recevoir, conserver et restituer des informations à traiter - tout composant électronique capable de stocker temporairement des données On distingue deux grandes catégories de

Plus en détail

IFT1215 Introduction aux systèmes informatiques

IFT1215 Introduction aux systèmes informatiques Introduction aux circuits logiques de base IFT25 Architecture en couches Niveau 5 Niveau 4 Niveau 3 Niveau 2 Niveau Niveau Couche des langages d application Traduction (compilateur) Couche du langage d

Plus en détail

Centrales de mesures. CENTRALES DE MESURES Nemo. A.6 Guide de choix. A.14 4 Modules. A.20 Encastré 72x72. A.24 Encastré 96x96. A.

Centrales de mesures. CENTRALES DE MESURES Nemo. A.6 Guide de choix. A.14 4 Modules. A.20 Encastré 72x72. A.24 Encastré 96x96. A. I N S T R U M E N T S D E M E S U R E CENTRLES DE MESURES Nemo Centrales de mesures Nemo Les centrales de mesures composant la gamme IMESYS permettent la surveillance, le contrôle et la gestion de toute

Plus en détail

Cours 7 : Programmation d une chaîne d acquisition

Cours 7 : Programmation d une chaîne d acquisition Cours 7 : Programmation d une chaîne d acquisition 4 Concepts 4 Programmation Cible Pentium : Langages de haut niveau Langage graphique G sous LabView + librairies de VI ; Langage C + librairies de fonctions

Plus en détail

ARDUINO DOSSIER RESSOURCE POUR LA CLASSE

ARDUINO DOSSIER RESSOURCE POUR LA CLASSE ARDUINO DOSSIER RESSOURCE POUR LA CLASSE Sommaire 1. Présentation 2. Exemple d apprentissage 3. Lexique de termes anglais 4. Reconnaître les composants 5. Rendre Arduino autonome 6. Les signaux d entrée

Plus en détail

FONCTION COMPTAGE BINAIRE ET DIVISION DE FRÉQUENCE

FONCTION COMPTAGE BINAIRE ET DIVISION DE FRÉQUENCE I/ GÉNÉRALITÉS I.1/ Fonction Un compteur binaire est utilisé : -pour compter un certain nombre d'évènements binaires -pour diviser la fréquence d'un signal logique par 2 m Page 1 FONCTION COMPTAGE BINAIRE

Plus en détail

Quoi de neuf en LabVIEW FPGA 2010?

Quoi de neuf en LabVIEW FPGA 2010? Quoi de neuf en LabVIEW FPGA 2010? Yannick DEGLA Ingénieur d Application Fonctionnalités de LabVIEW FPGA 2010 Nœud d intégration d IP - Importer directement des fichiers.xco de Xilinx ou vos propres VHDL

Plus en détail

Spécifications détaillées

Spécifications détaillées Hewlett Packard HP ProLiant ML110 G5 Serveur micro tour 4U 1 voie 1 x Xeon E3110 / 3 GHz RAM 1 Go Disque dur 1 x 250 Go DVD RW Gigabit Ethernet Moniteur : aucun(e) Le serveur HP ProLiant ML110 G5 offre

Plus en détail

ELP 304 : Électronique Numérique. Cours 1 Introduction

ELP 304 : Électronique Numérique. Cours 1 Introduction ELP 304 : Électronique Numérique Cours 1 Introduction Catherine Douillard Dépt Électronique Les systèmes numériques : généralités (I) En électronique numérique, le codage des informations utilise deux

Plus en détail

SUR MODULE CAMÉRA C38A (OV7620)

SUR MODULE CAMÉRA C38A (OV7620) Applications maquette d'étude EP10K20 DÉMULTIPLEXEUR BT.656 SUR MODULE CAMÉRA C38A OV7620 SCHÉMAS ET DESCRIPTIONS AHDL 1. Schéma principal Le démultiplexeur proprement dit est la fonction "Decod_BT656_1".

Plus en détail

Conception de circuits numériques et architecture des ordinateurs

Conception de circuits numériques et architecture des ordinateurs Conception de circuits numériques et architecture des ordinateurs Frédéric Pétrot et Sébastien Viardot Année universitaire 2011-2012 Structure du cours C1 C2 C3 C4 C5 C6 C7 C8 C9 C10 C11 C12 Codage des

Plus en détail

Lecteur de carte à puce LCPM1 SOMMAIRE

Lecteur de carte à puce LCPM1 SOMMAIRE SOMMAIRE I Différents types de cartes p2 1.1- Carte magnétique 1.2- Carte II Les cartes s. p3 2.1- Introduction 2.2- Constitution III Les familles de cartes s. p6 3.1- Les cartes à mémoire simple 3.2-

Plus en détail

Modélisation physique des cellules logiques... Modèles pour le placement routage, le format "LEF"

Modélisation physique des cellules logiques... Modèles pour le placement routage, le format LEF Modélisation physique des cellules logiques... Modèles pour le placement routage, le format "LEF" Yves Mathieu Plan Introduction Technologie Macros Conclusion 2/21 FC Backend ASIC Yves Mathieu Library

Plus en détail

Modules d automatismes simples

Modules d automatismes simples Modules d automatismes simples Solutions pour automatiser Modules d'automatismes Enfin, vraiment simple! Un concentré de solution Pour vos petites applications d'automatismes millenium gère : Temporisations

Plus en détail

Importation de fichiers Eagle

Importation de fichiers Eagle Importation de fichiers Eagle 2 Mention de réserve sur les droits d'auteur Les droits d auteur rattachés à tout ou partie des présents logiciel et manuel appartiennent à RS Components et ne peuvent être

Plus en détail

VIII- Circuits séquentiels. Mémoires

VIII- Circuits séquentiels. Mémoires 1 VIII- Circuits séquentiels. Mémoires Maintenant le temps va intervenir. Nous avions déjà indiqué que la traversée d une porte ne se faisait pas instantanément et qu il fallait en tenir compte, notamment

Plus en détail

SYSTEME DE TELESURVEILLANCE VIDEO

SYSTEME DE TELESURVEILLANCE VIDEO SYSTEME DE TELESURVEILLANCE VIDEO Avril - Juin 98 Résumé des auteurs : Auteurs : Bertrand LASSERRE Responsables : P. KADIONIK Y. BERTHOUMIEU Nombre de pages : 68 Nombre de pages «Annexe» : 0 Remerciements

Plus en détail

Serveur Lynx CALLEO Application 2240S Fiches Technique

Serveur Lynx CALLEO Application 2240S Fiches Technique Fiches Technique Flexible et innovant + Le serveur d application est particulièrement adapté pour les applications générales des entreprises et satisfait également les exigences les plus strictes. Grâce

Plus en détail

Les liaisons SPI et I2C

Les liaisons SPI et I2C DAMÉCOURT BENJAMIN AVRIL 28 Liaisons synchrones Les liaisons SPI et I2C Face arrière d un imac : trois ports USB, un port Firewire 4 et un port Firewire 8 CHRONOLOGIE ANNÉES 7 La liaison SPI et la création

Plus en détail

Electronique Numérique

Electronique Numérique Electronique Numérique 1er tome Systèmes combinatoires Etienne Messerli Yves Meyer Septembre 2010 Version 1.4 Mise à jour de ce manuel La base du présent manuel a été écrit par M. Yves Meyer de l'école

Plus en détail

Serveur Lynx CALLEO Application 2240 Fiches Technique

Serveur Lynx CALLEO Application 2240 Fiches Technique Fiches Technique Flexible et innovant + Le serveur d application est particulièrement adapté pour les applications générales des entreprises et satisfait également les exigences les plus strictes. Grâce

Plus en détail

MAC-TC: programmation d un plate forme DSP-FPGA

MAC-TC: programmation d un plate forme DSP-FPGA MAC-TC: programmation d un plate forme DSP-FPGA Tanguy Risset avec l aide de: Nicolas Fournel, Antoine Fraboulet, Claire Goursaud, Arnaud Tisserand - p. 1/17 Plan Partie 1: le système Lyrtech Introduction

Plus en détail

ADÉQUATION ALGORITHME-ARCHITECTURE APPLIQUÉE AUX CIRCUITS RECONFIGURABLES

ADÉQUATION ALGORITHME-ARCHITECTURE APPLIQUÉE AUX CIRCUITS RECONFIGURABLES ADÉQUATION ALGORITHME-ARCHITECTURE APPLIQUÉE AUX CIRCUITS RECONFIGURABLES AILTON F. DIAS, MOHAMED AKIL, CHRISTOPHE LAVARENNE, YVES SOREL CNEN/CDTN Divisão de Computação e Informação, CP 941-012-970 Belo

Plus en détail

GPA770 Microélectronique appliquée Exercices série A

GPA770 Microélectronique appliquée Exercices série A GPA770 Microélectronique appliquée Exercices série A 1. Effectuez les calculs suivants sur des nombres binaires en complément à avec une représentation de 8 bits. Est-ce qu il y a débordement en complément

Plus en détail

TABLE DES MATIÈRES 1. DÉMARRER ISIS 2 2. SAISIE D UN SCHÉMA 3 & ' " ( ) '*+ ", ##) # " -. /0 " 1 2 " 3. SIMULATION 7 " - 4.

TABLE DES MATIÈRES 1. DÉMARRER ISIS 2 2. SAISIE D UN SCHÉMA 3 & '  ( ) '*+ , ##) #  -. /0  1 2  3. SIMULATION 7  - 4. TABLE DES MATIÈRES 1. DÉMARRER ISIS 2 2. SAISIE D UN SCHÉMA 3! " #$ % & ' " ( ) '*+ ", ##) # " -. /0 " 1 2 " 3' & 3. SIMULATION 7 0 ( 0, - 0 - " - & 1 4. LA SOURIS 11 5. LES RACCOURCIS CLAVIER 11 STI Electronique

Plus en détail

STS SE. FreeRTOS. Programmation réseau WIFI. Programmation réseau. Socket Tcp. FlyPort smart Wi-Fi 802.11 module

STS SE. FreeRTOS. Programmation réseau WIFI. Programmation réseau. Socket Tcp. FlyPort smart Wi-Fi 802.11 module François SCHNEIDER Lycée Victor-Hugo BESANÇON. STS SE FreeRTOS Programmation réseau WIFI Programmation réseau Socket Tcp FlyPort smart Wi-Fi 802.11 module Prérequis : langage C, connaissance réseau : Ip,

Plus en détail

La carte à puce. Jean-Philippe Babau

La carte à puce. Jean-Philippe Babau La carte à puce Jean-Philippe Babau Département Informatique INSA Lyon Certains éléments de cette présentation sont issus de documents Gemplus Research Group 1 Introduction Carte à puce de plus en plus

Plus en détail

CONVERTISSEURS NA ET AN

CONVERTISSEURS NA ET AN Convertisseurs numériques analogiques (xo Convertisseurs.doc) 1 CONVTIU NA T AN NOT PLIMINAI: Tous les résultats seront exprimés sous formes littérales et encadrées avant les applications numériques. Les

Plus en détail

4. Utilisation d un SGBD : le langage SQL. 5. Normalisation

4. Utilisation d un SGBD : le langage SQL. 5. Normalisation Base de données S. Lèbre slebre@unistra.fr Université de Strasbourg, département d informatique. Présentation du module Contenu général Notion de bases de données Fondements / Conception Utilisation :

Plus en détail

AMICUS 18 (2ème partie) 4) Présentation du logiciel Amicus IDE

AMICUS 18 (2ème partie) 4) Présentation du logiciel Amicus IDE AMICUS 18 (2ème partie) Dans la première partie, nous avions présenté la platine Amicus 18 et nous avions réalisé quelques montages simples. Nous allons découvrir un peu mieux la programmation. Dans la

Plus en détail

Document de formation pour une solution complète d automatisation Totally Integrated Automation (T I A)

Document de formation pour une solution complète d automatisation Totally Integrated Automation (T I A) Document de formation pour une solution complète d automatisation Totally Integrated Automation (T I A) MODULE A7 Document de formation T I A Page 1 sur 16 Module A7 Ce document a été édité par Siemens

Plus en détail

Carte Relais GSM (Manuel Utilisateur)

Carte Relais GSM (Manuel Utilisateur) Carte Relais GSM (Manuel Utilisateur) Carte Relais GSM Introduction Cette carte est une véritable centrale de télécommande et d alarme par GSM. Elle se connecte par un port série à un modem GSM compatible

Plus en détail

Quoi de neuf en contrôle/commande et systèmes embarqués (RIO, WSN...)?

Quoi de neuf en contrôle/commande et systèmes embarqués (RIO, WSN...)? Quoi de neuf en contrôle/commande et systèmes embarqués (RIO, WSN...)? Mathieu PACE National Instruments, Ingénieur d applications L architecture RIO se développe Processeur FPGA E/S E/S E/S personnalisées

Plus en détail

3. SPÉCIFICATIONS DU LOGICIEL. de l'expression des besoins à la conception. Spécifications fonctionnelles Analyse fonctionnelle et méthodes

3. SPÉCIFICATIONS DU LOGICIEL. de l'expression des besoins à la conception. Spécifications fonctionnelles Analyse fonctionnelle et méthodes PLAN CYCLE DE VIE D'UN LOGICIEL EXPRESSION DES BESOINS SPÉCIFICATIONS DU LOGICIEL CONCEPTION DU LOGICIEL LA PROGRAMMATION TESTS ET MISE AU POINT DOCUMENTATION CONCLUSION C.Crochepeyre Génie Logiciel Diapason

Plus en détail

Chapitre II-2 : Conception SoPC (Altera)

Chapitre II-2 : Conception SoPC (Altera) Chapitre II-2 : Conception SoPC (Altera) Plan 1. 2. Processeurs embarqués : conception conjointe System on Programmable Chip = 1. 2. 3. Systèmes à base de Nios-II Le bus système Avalon Les périphériques

Plus en détail

Moteur DC: Comment faire varier sa vitesse?

Moteur DC: Comment faire varier sa vitesse? Moteur DC: Comment faire varier sa vitesse? Zone d'utilisation Moteur à excitation shunt Influence I e Petite perturbation : e.g. augmentation vitesse À partir de P : couple moteur P'' < couple résistant

Plus en détail

Fiche technique CPU 314SC/DPM (314-6CG13)

Fiche technique CPU 314SC/DPM (314-6CG13) Fiche technique CPU 314SC/DPM (3146CG13) Données techniques N de commande 3146CG13 Type CPU 314SC/DPM Information générale Note Caractéristiques SPEEDBus Technologie SPEED7 24 x DI, 16 x DO, 8 x DIO, 4

Plus en détail

Guide d'installation rapide TFM-560X YO.13

Guide d'installation rapide TFM-560X YO.13 Guide d'installation rapide TFM-560X YO.13 Table of Contents Français 1 1. Avant de commencer 1 2. Procéder à l'installation 2 Troubleshooting 6 Version 06.08.2011 16. Select Install the software automatically

Plus en détail

Prototypage électronique

Prototypage électronique Prototypage électronique C'est quoi Arduino? Enseignant d'électronique en BTS des Systèmes Électroniques au lycée Cabanis de Brive-la-Gaillarde, j'ai commencé en 2010 à entendre parler d'arduino à gauche

Plus en détail

Description du logiciel Modbus RTU

Description du logiciel Modbus RTU Description du logiciel Modbus RTU V.1.0 Actualisée 04/2014 Page 1 de 57 Description du logiciel Modbus RTU Description du logiciel Modbus RTU V.1.0 Actualisée 04/2014 Page 2 de 57 Sommaire Description

Plus en détail

Chapitre 4 : Les mémoires

Chapitre 4 : Les mémoires 1. Introduction: Chapitre 4 : Les mémoires Nous savons que dans un ordinateur toutes les informations : valeur numérique, instruction, adresse, symbole (chiffre, lettre,... etc.) sont manipulées sous une

Plus en détail

La technologie Java Card TM

La technologie Java Card TM Présentation interne au CESTI La technologie Java Card TM sauveron@labri.u-bordeaux.fr http://dept-info.labri.u-bordeaux.fr/~sauveron 8 novembre 2002 Plan Qu est ce que Java Card? Historique Les avantages

Plus en détail

1. PRESENTATION DU PROJET

1. PRESENTATION DU PROJET Bac STI2D Formation des enseignants Jean-François LIEBAUT Denis PENARD SIN 63 : Prototypage d un traitement de l information analogique et numérique (PSoC) 1. PRESENTATION DU PROJET Les systèmes d éclairage

Plus en détail

Configuration de TCPIPconfig.h

Configuration de TCPIPconfig.h AVANT DE COMMENCER LIRE EN DETAIL L APPLICATION MICROCHIP AN833. (L application MICROCHIP AN1120 est un excellent cours sur le protocole TCP-IP, qu il est indispensable de connaitre avant de fabriquer

Plus en détail

Améliorez votre productivité grâce à une solution tout-en-un

Améliorez votre productivité grâce à une solution tout-en-un basé sur la technologie Autodesk logiciel 3d de cao/fao pour la construction bois Améliorez votre productivité grâce à une solution tout-en-un Le logiciel hsbcad a été spécialement conçu pour les entreprises

Plus en détail

Tests de performance du matériel

Tests de performance du matériel 3 Tests de performance du matériel Après toute la théorie du dernier chapitre, vous vous demandez certainement quelles sont les performances réelles de votre propre système. En fait, il y a plusieurs raisons

Plus en détail

Nouveautés de la version 4.30. Nouveautés :

Nouveautés de la version 4.30. Nouveautés : Nouveautés de la version 4.30 Nouveautés : - "TH_CAD_View" : Le visualiseur de CAD et de schemas TH_JTAG - "TH_Checksum" : Calcul du checksum des fichiers de programmation pour TH_JTAG - "Station de Test

Plus en détail

White Paper - Livre Blanc

White Paper - Livre Blanc White Paper - Livre Blanc Développement d applications de supervision des systèmes d information Avec LoriotPro Vous disposez d un environnement informatique hétérogène et vous souhaitez à partir d une

Plus en détail

Sur un ordinateur portable ou un All-in-One tactile, la plupart des éléments mentionnés précédemment sont regroupés. 10) 11)

Sur un ordinateur portable ou un All-in-One tactile, la plupart des éléments mentionnés précédemment sont regroupés. 10) 11) 1/ Généralités : Un ordinateur est un ensemble non exhaustif d éléments qui sert à traiter des informations (documents de bureautique, méls, sons, vidéos, programmes ) sous forme numérique. Il est en général

Plus en détail

L identification par radio fréquence principe et applications

L identification par radio fréquence principe et applications L identification par radio fréquence principe et applications Présentée par Abdelatif Bouchouareb École de technologie supérieure, Montréal Qc Novembre 2007 1 Les différents systèmes d identification 2

Plus en détail

MICROCONTROLEURS PIC PROGRAMMATION EN C. V. Chollet - cours-pic-13b - 09/12/2012 Page 1 sur 44

MICROCONTROLEURS PIC PROGRAMMATION EN C. V. Chollet - cours-pic-13b - 09/12/2012 Page 1 sur 44 MICROCONTROLEURS PIC PROGRAMMATION EN C V. Chollet - cours-pic-13b - 09/12/2012 Page 1 sur 44 Chapitre 1 GENERALITES 1 DEFINITION Un microcontrôleur est un microprocesseur RISC (Reduced Instruction Set

Plus en détail

Surveillance de Scripts LUA et de réception d EVENT. avec LoriotPro Extended & Broadcast Edition

Surveillance de Scripts LUA et de réception d EVENT. avec LoriotPro Extended & Broadcast Edition Surveillance de Scripts LUA et de réception d EVENT avec LoriotPro Extended & Broadcast Edition L objectif de ce document est de présenter une solution de surveillance de processus LUA au sein de la solution

Plus en détail

Leçon 1 : Les principaux composants d un ordinateur

Leçon 1 : Les principaux composants d un ordinateur Chapitre 2 Architecture d un ordinateur Leçon 1 : Les principaux composants d un ordinateur Les objectifs : o Identifier les principaux composants d un micro-ordinateur. o Connaître les caractéristiques

Plus en détail

Le multiplexage. Sommaire

Le multiplexage. Sommaire Sommaire Table des matières 1- GENERALITES... 2 1-1 Introduction... 2 1-2 Multiplexage... 4 1-3 Transmission numérique... 5 2- LA NUMERATION HEXADECIMALE Base 16... 8 3- ARCHITECTURE ET PROTOCOLE DES RESEAUX...

Plus en détail

Architecture des ordinateurs Introduction à l informatique

Architecture des ordinateurs Introduction à l informatique Architecture des ordinateurs Introduction à l informatique 17 septembre 2004 1 2 3 4 5 6 Les interrupteurs... 0V 5V Ce sont des composants électroniques qui laissent pser un courant principal lorsque la

Plus en détail

Document de formation pour une solution complète d automatisation Totally Integrated Automation (T I A) MODULE A5 Programmation de la CPU 314C-2DP

Document de formation pour une solution complète d automatisation Totally Integrated Automation (T I A) MODULE A5 Programmation de la CPU 314C-2DP Document de formation pour une solution complète d automatisation Totally Integrated Automation (T I A) MODULE A5 Document de formation T I A Page 1 sur 23 Module A5 Ce document a été édité par Siemens

Plus en détail

Equipement. électronique

Equipement. électronique MASTER ISIC Les générateurs de fonctions 1 1. Avant-propos C est avec l oscilloscope, le multimètre et l alimentation stabilisée, l appareil le plus répandu en laboratoire. BUT: Fournir des signau électriques

Plus en détail

CONTEC CO., LTD. Novembre 2010

CONTEC CO., LTD. Novembre 2010 La gamme CONTEC CONTEC CO., LTD. Novembre 2010 1 Agenda Introduction Data acquisition and control Data Communication Expansion chassis and accessory Distributed I/O and media converter Stainless steel

Plus en détail

EL70x1 en mode position. Mise en œuvre rapide. VERSION : Beta / DATE : 31 Juillet 2011

EL70x1 en mode position. Mise en œuvre rapide. VERSION : Beta / DATE : 31 Juillet 2011 - EL70x1 en mode position Mise en œuvre rapide VERSION : Beta / DATE : 31 Juillet 2011 Les bornes EL70x1 permettent de contrôler des moteurs pas à pas. Soit la consigne est générée par une tâche NC, soit

Plus en détail

Réalisation d un réseau de capteurs de température sans fil basé sur le protocole ZigBee

Réalisation d un réseau de capteurs de température sans fil basé sur le protocole ZigBee Cedric BEAUSSE Mohamed BOUGUERRA Hong Yu GUAN El Ayachi MOKTAD Projet avancé en systèmes embarqués 2006 / 2007 Réalisation d un réseau de capteurs de température sans fil basé sur le protocole ZigBee Sommaire

Plus en détail

Programmation C. Apprendre à développer des programmes simples dans le langage C

Programmation C. Apprendre à développer des programmes simples dans le langage C Programmation C Apprendre à développer des programmes simples dans le langage C Notes de cours sont disponibles sur http://astro.u-strasbg.fr/scyon/stusm (attention les majuscules sont importantes) Modalités

Plus en détail

THEME 1 : L ORDINATEUR ET SON ENVIRONNEMENT. Objectifs

THEME 1 : L ORDINATEUR ET SON ENVIRONNEMENT. Objectifs Architecture Matérielle des Systèmes Informatiques. S1 BTS Informatique de Gestion 1 ère année THEME 1 : L ORDINATEUR ET SON ENVIRONNEMENT Dossier 1 L environnement informatique. Objectifs Enumérer et

Plus en détail

ISO/CEI 11172-3 NORME INTERNATIONALE

ISO/CEI 11172-3 NORME INTERNATIONALE NORME INTERNATIONALE ISO/CEI 11172-3 Première édition 1993-08-01 Technologies de l information - Codage de l image animée et du son associé pour les supports de stockage numérique jusqu à environ Ii5 Mbit/s

Plus en détail

NPIH800 GENERATION & RESEAUX. PROTECTION de COURANT TERRE

NPIH800 GENERATION & RESEAUX. PROTECTION de COURANT TERRE GENERATION & RESEAUX PROTECTION de COURANT TERRE NPIH800 assure la protection à maximum de courant terre des réseaux électriques de moyenne et haute tension. Ce relais multifonction surveille les défauts

Plus en détail

Organisation des Ordinateurs

Organisation des Ordinateurs Organisation des Ordinateurs Bernard Boigelot E-mail : boigelot@montefiore.ulg.ac.be URL : http://www.montefiore.ulg.ac.be/~boigelot/ http://www.montefiore.ulg.ac.be/~boigelot/cours/org/ 1 Chapitre 1 Les

Plus en détail

Thème 3 Conception et vérification d architectures de systèmes sur puce

Thème 3 Conception et vérification d architectures de systèmes sur puce Thème 3 Conception et vérification d architectures de systèmes sur puce Conception et simulation Frédéric Pétrot Vérification Laurence Pierre Conception et vérification d architectures de systèmes sur

Plus en détail

IFT3902 : (Gestion de projet pour le) développement, (et la) maintenance des logiciels

IFT3902 : (Gestion de projet pour le) développement, (et la) maintenance des logiciels IFT3902 : (Gestion de projet pour le) développement, (et la) maintenance des logiciels Yann-Gaël Guéhéneuc Professeur adjoint guehene@iro.umontreal.ca, local 2345 Département d informatique et de recherche

Plus en détail

Logiciel Enterprise Guide Version 1.3 Windows

Logiciel Enterprise Guide Version 1.3 Windows Configuration requise Logiciel Enterprise Guide Version 1.3 Windows Ce document indique la configuration requise pour l'installation et l'exécution du logiciel Enterprise Guide. Vous devez mettre votre

Plus en détail

PIC EVAL Dev Board PIC18F97J60

PIC EVAL Dev Board PIC18F97J60 PIC EVAL Dev Board PIC18F97J60 2 TP1 : Prise en main de l environnement de programmation pour la carte PIC EVAL-ANFA Pour répondre aux questions et justifier vos réponses, vous pouvez faire des copies

Plus en détail

Proteus Design Suite V7 Instruments virtuels

Proteus Design Suite V7 Instruments virtuels Proteus Design Suite V7 Instruments virtuels Le modèle d oscilloscope virtuel...2 Généralités...2 Utilisation de l oscilloscope...2 Le modèle d analyseur logique...5 Généralités...5 Utilisation de l analyseur

Plus en détail

DÉCLARATION ET DEMANDE D'AUTORISATION D OPÉRATIONS RELATIVES A UN MOYEN DE CRYPTOLOGIE

DÉCLARATION ET DEMANDE D'AUTORISATION D OPÉRATIONS RELATIVES A UN MOYEN DE CRYPTOLOGIE ANNEXE I DÉCLARATION ET DEMANDE D'AUTORISATION D OPÉRATIONS RELATIVES A UN MOYEN DE CRYPTOLOGIE FORMULAIRE 1 à adresser en deux exemplaires à : Agence nationale de la sécurité des systèmes d'information

Plus en détail

Notice d'utilisation Afficheur multifonctions et système d'évaluation FX 360. Mode/Enter

Notice d'utilisation Afficheur multifonctions et système d'évaluation FX 360. Mode/Enter Notice d'utilisation Afficheur multifonctions et système d'évaluation FR FX 360 7390275 / 08 07 / 2009 Mode/Enter Set Consignes de sécurité Cette notice fait partie de l'appareil. Elle fournit des textes

Plus en détail

CQP 112 Introduc/on à la programma/on. Thème 2 : Architecture d un système informa/que. Département d informa/que

CQP 112 Introduc/on à la programma/on. Thème 2 : Architecture d un système informa/que. Département d informa/que CQP 112 Introduc/on à la programma/on Thème 2 : Architecture d un système informa/que Département d informa/que CQP 112 : Introduc/on à la programma/on Plan 1. Historique des ordinateurs 2. Composants

Plus en détail

Notions d IPMI et retour. Ecole d électronique numérique Fréjus 28 novembre 2012 Nicolas LETENDRE

Notions d IPMI et retour. Ecole d électronique numérique Fréjus 28 novembre 2012 Nicolas LETENDRE Notions d IPMI et retour d experience du LAPP Ecole d électronique numérique Fréjus 28 novembre 2012 Nicolas LETENDRE L IPMI (Intelligent Platform Management Interface) Définition d Interfaces de bas niveau

Plus en détail

HAUTE DISPONIBILITÉ DE MACHINE VIRTUELLE AVEC HYPER-V 2012 R2 PARTIE CONFIGURATION OPENVPN SUR PFSENSE

HAUTE DISPONIBILITÉ DE MACHINE VIRTUELLE AVEC HYPER-V 2012 R2 PARTIE CONFIGURATION OPENVPN SUR PFSENSE HAUTE DISPONIBILITÉ DE MACHINE VIRTUELLE AVEC HYPER-V 2012 R2 PARTIE CONFIGURATION OPENVPN SUR PFSENSE Projet de semestre ITI soir 4ème année Résumé configuration OpenVpn sur pfsense 2.1 Etudiant :Tarek

Plus en détail

Topologies et Outils d Alertesd

Topologies et Outils d Alertesd Topologies et Outils d Alertesd IDS / IDP DEFINITIONS IDS : SDI / Système de détection d intrusion IDP : SPI / Système de protection d intrusion IDS / IDP Statfull matriciels ACTIVITE IDP : Coupe circuit

Plus en détail

KL5121. Pour activer des sorties en fonction de la position d'un codeur

KL5121. Pour activer des sorties en fonction de la position d'un codeur KL5121 Pour activer des sorties en fonction de la position d'un codeur VERSION : 1.0 / PH DATE : 07 Février 2006 Sommaire Ce manuel explique de manière pratique les étapes successives pour mettre en œuvre

Plus en détail

CONFIGURATION DE L AUTOMATE SIEMENS

CONFIGURATION DE L AUTOMATE SIEMENS CONFIGURATION DE L AUTOMATE SIEMENS Créer un projet Dans le bureau de Windows, double-cliquer sur l icône «SIMATIC Manager» : Cliquer ensuite sur l icône «nouveau» : Choisir un nom de projet et valider

Plus en détail

THÈSE DEVANT L UNIVERSITÉ DE RENNES 1

THÈSE DEVANT L UNIVERSITÉ DE RENNES 1 N d ordre : 3881 THÈSE présentée DEVANT L UNIVERSITÉ DE RENNES 1 pour obtenir le grade de : DOCTEUR DE L UNIVERSITÉ DE RENNES 1 Mention : Traitement du Signal et Télécommunications par Julien LALLET Équipe

Plus en détail

Débuter avec PsoC ou PsoC niveau 0

Débuter avec PsoC ou PsoC niveau 0 Débuter avec PsoC ou PsoC niveau 0 Objectifs : se familiariser avec les circuits PsoC et leur environnement, prendre en main le logiciel et le kit d'évaluation, développer une application simple avec le

Plus en détail

Algorithmique des Systèmes Répartis Protocoles de Communications

Algorithmique des Systèmes Répartis Protocoles de Communications Algorithmique des Systèmes Répartis Protocoles de Communications Master Informatique Dominique Méry Université de Lorraine 1 er avril 2014 1 / 70 Plan Communications entre processus Observation et modélisation

Plus en détail

EMETTEUR ULB. Architectures & circuits. Ecole ULB GDRO ESISAR - Valence 23-27/10/2006. David MARCHALAND STMicroelectronics 26/10/2006

EMETTEUR ULB. Architectures & circuits. Ecole ULB GDRO ESISAR - Valence 23-27/10/2006. David MARCHALAND STMicroelectronics 26/10/2006 EMETTEUR ULB Architectures & circuits David MARCHALAND STMicroelectronics 26/10/2006 Ecole ULB GDRO ESISAR - Valence 23-27/10/2006 Introduction Emergence des applications de type LR-WPAN : Dispositif communicant

Plus en détail

Informatique Générale

Informatique Générale Informatique Générale Guillaume Hutzler Laboratoire IBISC (Informatique Biologie Intégrative et Systèmes Complexes) guillaume.hutzler@ibisc.univ-evry.fr Cours Dokeos 625 http://www.ens.univ-evry.fr/modx/dokeos.html

Plus en détail

AMBUS IS Collecteur d impulsions M-Bus

AMBUS IS Collecteur d impulsions M-Bus AMBUS IS Collecteur d impulsions M-Bus Application Collecteur d impulsions pour la connexion d un compteur à émetteur d impulsions à des systèmes M-Bus ou pour la transmission de signaux à des systèmes

Plus en détail

ÉCOLE POLYTECHNIQUE DE MONTRÉAL. Département de Génie Électrique. La technologie de TEXAS INSTRUMENTS DSP pour le cours Analyse des Signaux ELE2700

ÉCOLE POLYTECHNIQUE DE MONTRÉAL. Département de Génie Électrique. La technologie de TEXAS INSTRUMENTS DSP pour le cours Analyse des Signaux ELE2700 ÉCOLE POLYTECHNIQUE DE MONTRÉAL Département de Génie Électrique La technologie de TEXAS INSTRUMENTS DSP pour le cours Analyse des Signaux ELE2700 M. Corinthios et Zaher Dannawi 29 août 2007 2 Tables des

Plus en détail