I. INTRODUCTION - PRESENTATION

Dimension: px
Commencer à balayer dès la page:

Download "I. INTRODUCTION - PRESENTATION"

Transcription

1 Université Joseph Fourier Polytech 3i5 / Master 2 NENT Année I. INTRODUCTION - PRESENTATION Contexte - Conception des systèmes numériques Electronic design automation (EDA) is a category of software tools for designing electronic systems such as printed circuit boards and integrated circuits. The tools work together in a design flow that chip designers use to design and analyze entire semiconductor chips. EDA is also referred to as "Electronic Computer-Aided Design" (ECAD). Wikipedia Cours VHDL Laurence PIERRE (Laurence.Pierre@imag.fr) IP block: In electronic design a semiconductor intellectual property core, IP core, or IP block is a reusable unit of logic, cell, or chip layout design that is the intellectual property of one party. The IP core can be described as being for chip design what a library is for computer programming or a discrete integrated circuit component is for printed circuit board design. Synthesizable cores are delivered in a hardware description language such as Verilog or VHDL. COTS: Un composant pris sur étagère (commercial off-the-shelf ou COTS) désigne un composant fabriqué en grande série et non pour un projet en particulier. La conception et la mise au point d'un circuit digital (e.g., bloc IP), depuis son cahier des charges jusqu'à son ultime niveau de description, mettent 2

2 en oeuvre une panoplie de méthodes. o A partir d'une spécification informelle du système à construire, une formalisation à l'aide d'un langage ad hoc (langage spécialisé pour la description de systèmes matériels, comme VHDL, Verilog,...) pourra servir d'entrée aux divers outils offerts par un environnement de CAO de circuits. o Les outils de synthèse permettent de produire des descriptions du système de plus en plus concrètes, en vue de la réalisation matérielle. o A toutes les étapes, les simulateurs permettent une analyse du comportement du système, en fournissant des chronogrammes traduisant son évolution dans le temps suivant diverses séquences d'entrées. Nous étudierons les techniques utilisées lors de la spécification comportementale d'un système digital (en nous concentrant sur les systèmes de contrôle) et nous verrons comment réaliser la synthèse ASIC de cette spécification (sous forme d'une interconnexion de portes logiques et de registres), et nous évoquerons la synthèse FPGA. A ces deux niveaux de description (avant et après synthèse), des simulations peuvent être réalisées pour obtenir un certain niveau de confiance sur la bonne conception du circuit. Ces simulations peuvent aussi servir à vérifier l'équivalence des comportements avant et après synthèse. Chacun de ces niveaux de spécification peut être associé à une description dans le langage VHDL (une machine d'états est décrite en VHDL au niveau de description dit RTL, et un circuit synthétisé sous forme d'une interconnexion de portes logiques et de registres correspond à une description VHDL dite structurelle). Nous proposerons également une introduction à ces aspects du langage VHDL (standard IEEE 1076) et aux outils associés. Spécification Codage Validation Circuit Spécification comportementale Organisation : - 10 séances de cours VHDL Simulation + contraintes liées au matériel (fréquence, surface, consommation,...) - TP (au CIME-Nanotech - : o 3i5 : 4 séances de TP VHDL suivies de 3 séances de projet o NENT : 4 séances de TP VHDL 3 4

3 II. VHDL. ENTITES ET ARCHITECTURES II.1 Langages de description de matériel Les langages de description de matériel (Hardware Description Languages) ont vu le jour à la fin des années 1960, dans le but de décrire et simuler les circuits. Entre 1968 et 1975, il y a eu prolifération de langages (et simulateurs), couvrant divers niveaux d'abstraction. Une première tentative de standardisation a eu lieu à partir de 1973, avec le projet CONLAN (CONsensus LANguage). A l'heure actuelle, il existe deux standards IEEE : Verilog et VHDL. De façon générale, les langages de description de matériel peuvent offrir plusieurs des niveaux de description suivants : - algorithmique : modélisation de l'algorithme que le circuit doit réaliser - comportemental (ou système) : modélisation du comportement du circuit (automate, réseau de Pétri,...) - transfert de registres (RTL) : modélisation du circuit par des équations (qui expriment la mise à jour des registres) - portes logiques : modélisation comme une interconnexion de portes logiques (peut être hiérarchique : interconnexion de composants euxmêmes interconnexions de portes logiques) - transistors Verilog ( est né au début des années 1980 (Gateway Design Automation), avec le simulateur logique Verilog-XL. Il a été mis dans le domaine public en 1990 par Cadence (acquéreur de Gateway Design Automation), puis standardisé par l'ieee en 1995 (IEEE Std ). Il propose les niveaux de description algorithmique, RTL, portes logiques et transistors. Le développement de VHDL a été entrepris en 1981 par le Département de la Défense des USA (DoD), des industriels ont été largement impliqués dans le processus de standardisation. Le premier manuel de référence a été rédigé fin 1984, et les premiers outils ont été proposés en 1986 (vraiment disponibles en 1988). Il a été standardisé par l'ieee en 1987 (IEEE Std ), et des révisions significatives ont eu lieu en 1993, 2000 et Il propose les niveaux de description algorithmique, RTL et portes logiques. Remarque : Verilog-AMS et VHDL-AMS sont des dérivés de Verilog et VHDL, pour la description de systèmes à signaux analogiques et mixtes. II.2 VHDL - Premières notions VHDL signifie VHSIC (Very High Speed Integrated Circuits) Hardware Description Language. Sa sémantique est décrite en termes du moteur de simulation dirigée par événements, diverses constructions ne sont pas synthétisables. Nous étudions ci-dessous une partie de VHDL'87. Une description VHDL se compose d'une déclaration d'entité et d'une ou plusieurs architectures (généralement à différents niveaux d'abstraction). La déclaration d'entité décrit essentiellement l'interface (les ports d'entrée/sortie). Elle peut aussi spécifier des paramètres génériques qui permettent de modéliser des familles de circuits (paramètres de temps, taille des ports, nombre de composants utilisés, ). Sa forme, légèrement simplifiée, est la suivante : entity nom is generic (paramètres-génériques); port (ports d'entrées/sorties); déclarations diverses: types, constantes ; end nom; 5 6

4 Les ports d'entrées/sorties peuvent être spécifiés : - in : ports d'entrée, ne peuvent pas être modifiés - out : ports de sortie, ne peuvent pas être lus - inout : ports bidirectionnels, servant en entrée et en sortie - buffer : ports de sortie pouvant être lus Exemple : additionneur 1 bit entity full_adder is port(x,y,cin : in Bit; -- ports d'entrée Sum,Cout : out Bit); -- ports de sortie end full_adder; Les paramètres génériques peuvent être de n'importe quel type, avoir une valeur par défaut, et ils sont considérés comme des constantes. Exemples : entity Add_N_bit is generic(n: Natural); port(a,b: in Bit_vector(N downto 1); Cin: in Bit; S: out Bit_vector(N downto 1); Cout: out Bit); end Add_N_bit; entity async_transmitter is generic(bit_time: time := 20 ms); port(parallel_in: in bit_vector (7 downto 0); load: in bit; serial_out : out bit := '0'; done : out bit := '1'); end async_transmitter; Les architectures permettent de décrire le comportement ou la structure des composants représentés par les entités. Plusieurs architectures, éventuellement données à différents niveaux d'abstraction, peuvent être associées à une même entité. Trois styles de description peuvent être utilisés en VHDL : - le style "structurel" : interconnexion de composants, chacun d'eux étant une instance de couple entité/architecture - le style "dataflow" (s'apparente au niveau d'abstraction RTL) : ensemble d'instructions sur signaux qui décrivent les connexions entre portes logiques et les chargements de registres - le style "comportemental" : ensemble de processus qui expriment le comportement du système Une définition d'architecture a la forme suivante : architecture nom of nom-entité is déclarations instructions-concurrentes end nom; Dans la partie déclarations peuvent se trouver des déclarations de types, de constantes, de fonctions et procédures, de composants, et de signaux. On ne peut pas trouver de déclarations de variables (VHDL'87). Attention, Les instructions se trouvant dans une architecture sont toutes des instructions concurrentes. Dans le style structurel, on décrit une hiérarchie de composants interconnectés. Les communications se font par les interfaces (ports d'e/s), les interconnexions sont exprimées par les port map. Les composants utilisés doivent faire l'objet d'une configuration (voir plus bas). Exemple : architecture Structure_view of full_adder is -- déclaration des composants utilisés : component Half_adder port(i1,i2: in Bit; Carry,Sum: out Bit); 7 8

5 component Or_gate port(i1,i2: in Bit; O: out Bit); -- déclaration des "signaux" internes : signal A,B,C : Bit; U1: Half_adder port map(x,y,a,b); U2: Half_adder port map(cin,b,c,sum); U3: Or_gate port map(a,c,cout); end Structure_view; cin x y B A C sum cout Dans le style dataflow, la description traduit les équations caractéristiques du circuit, les temps de traversée des portes correspondant aux opérateurs utilisés peuvent être explicités. Exemple : cin x y S sum cout! II.3 Configurations Nous reviendrons plus loin sur l'instruction d'instanciation de composant, utilisée dans le style structurel. Voyons les configurations, qui permettent de spécifier les couples entités/architectures choisis pour instancier des composants. Une spécification de configuration peut être simplement placée dans l'architecture même. Elle a la forme suivante : for instance(s)_de_composant : nom_composant use entity nom_library.nom_entité(nom_architecture); De préférence, on fera appel à une unité de conception configuration, qui a la forme ci-dessous : configuration nom of nom_entité is for nom_arch for instance(s)_de_composant : nom_composant use entity nom_library.nom_entité(nom_architecture); end nom; architecture Dataflow_view of full_adder is signal S : Bit; -- pas indispensable ici S <= X xor Y after 5 ns; Sum <= S xor Cin after 5 ns; Cout <= (X and Y) or (S and Cin) after 20 ns; end Dataflow_view;! Cela est utile si l'on souhaite retarder le choix de configuration et/ou avoir plusieurs configurations pour une même architecture; nom_arch est généralement un nom d'architecture (mais peut être une étiquette de block ou de generate, voir plus loin). 9 10

6 Exemple : prenons le petit exemple illustratif ci-dessous A B 2ns S1 5ns S2 L'entité correspondante est la suivante : 4ns entity Exemple is port(a,b: in Bit; s: out Bit); end Exemple; Une description totalement dataflow ne nécessite pas de configuration : architecture RTL of Exemple is signal s1,s2: Bit; s1 <= not a after 2 ns; s2 <= s1 nand b after 5 ns; s <= s1 and s2 after 4 ns; end RTL; Voyons maintenant une description mixte structurelle/dataflow, avec configuration incorporée : entity porteet is port(x,y: in bit; s: out bit); end porteet; architecture RTL of porteet is s <= x and y after 4 ns; end RTL; entity portenand is port(x,y: in bit; s: out bit); end portenand; architecture RTL of portenand is s <= x nand y after 5 ns; end RTL; architecture Struct of Exemple is -- déclaration des composants utilisés : component porteet port(x,y: in bit; s: out bit); S component portenand port(x,y: in bit; s: out bit); -- configuration : for P1:porteET use entity work.porteet(rtl); for P2:porteNAND use entity work.portenand(rtl); -- déclaration des "signaux" internes : signal s1,s2: Bit; s1 <= not a after 2 ns; P1: porteet port map(s1, s2, s); P2: portenand port map(s1, b, s2); end Struct; Alternativement, une unité de conception spécifique peut être utilisée (il est d'ailleurs préférable de procéder ainsi) : architecture Struct of Exemple is component porteet port(x,y: in bit; s: out bit); component portenand port(x,y: in bit; s: out bit); signal s1,s2: Bit; s1 <= not a after 2 ns; P1: porteet port map(s1, s2, s); P2: portenand port map(s1, b, s2); end Struct; configuration Config1 of Exemple is for Struct -- pour l'architecture Struct de Exemple for P1: porteet use entity work.porteet(rtl); for P2: portenand use entity work.portenand(rtl); end Config1; Dans ce second cas, lorsqu'une instance de Exemple sera à son tour utilisée, la configuration mettra en jeu use configuration au lieu de use entity : 11 12

7 au lieu de for E:Exemple use configuration work.config1; for E:Exemple use entity work.exemple(struct); Remarque : les packages peuvent être utilisés pour rassembler des déclarations (types, constantes,...) et des définitions de sous-programmes. Les déclarations de composants peuvent également être placées dans un package, nous n'aborderons pas cette possibilité ici. 13

Le langage VHDL. Eduardo Sanchez EPFL

Le langage VHDL. Eduardo Sanchez EPFL Le langage VHDL Eduardo Sanchez EPFL Livres conseillés: John F. Wakerly Digital design (4th edition) Prentice Hall, 2005 Peter J. Ashenden The designer's guide to VHDL (3rd edition) Morgan Kaufmann, 2008

Plus en détail

T. BLOTIN Lycée Paul-Eluard 93206 SAINT-DENIS

T. BLOTIN Lycée Paul-Eluard 93206 SAINT-DENIS T. BLOTIN Lycée Paul-Eluard 93206 SAINT-DENIS SOMMAIRE I. Le VHDL pour qui, pourquoi, quand, comment? A. Le VHDL!...... 1 B. Pourquoi un langage de description?...... 1 C. Les limites actuelles...... 2

Plus en détail

Conception Systèmes numériques VHDL et synthèse automatique des circuits

Conception Systèmes numériques VHDL et synthèse automatique des circuits Année 2008-2009 Conception Systèmes numériques VHDL et synthèse automatique des circuits Travaux pratiques Pentium4 Présentation du simulateur VHDL sous environnement Cadence Présentation de l outil Synopsys

Plus en détail

SIN-FPGA DESCRIPTION PAR SCHEMA

SIN-FPGA DESCRIPTION PAR SCHEMA SIN-FPGA DESCRIPTION PAR SCHEMA Documents ressources: http://www.altera.com/literature/lit-index.html Introduction to Quartus II : intro_to_quartus2.pdf Documentation QUARTUS II : quartusii_handbook.pdf

Plus en détail

QUESTION 1 {2 points}

QUESTION 1 {2 points} ELE4301 Systèmes logiques II Page 1 de 8 QUESTION 1 {2 points} En se servant de paramètres électriques donnés dans le Tableau 1 ci-dessous, on désire déterminer la fréquence d opération du compteur présenté

Plus en détail

Conception Systèmes numériques VHDL et synthèse automatique des circuits

Conception Systèmes numériques VHDL et synthèse automatique des circuits Année 2011-2012 Conception Systèmes numériques VHDL et synthèse automatique des circuits Travaux pratiques WIDEMACV1 LAAS-CNRS 2011 Présentation du simulateur VHDL sous environnement Cadence Présentation

Plus en détail

Annexe : La Programmation Informatique

Annexe : La Programmation Informatique GLOSSAIRE Table des matières La Programmation...2 Les langages de programmation...2 Java...2 La programmation orientée objet...2 Classe et Objet...3 API et Bibliothèque Logicielle...3 Environnement de

Plus en détail

MAC-TC: programmation d un plate forme DSP-FPGA

MAC-TC: programmation d un plate forme DSP-FPGA MAC-TC: programmation d un plate forme DSP-FPGA Tanguy Risset avec l aide de: Nicolas Fournel, Antoine Fraboulet, Claire Goursaud, Arnaud Tisserand - p. 1/17 Plan Partie 1: le système Lyrtech Introduction

Plus en détail

Conception et Intégration de Systèmes Critiques

Conception et Intégration de Systèmes Critiques Conception et Intégration de Systèmes Critiques 15 12 18 Non 50 et S initier aux méthodes le développement de projet (plan de développement, intégration, gestion de configuration, agilité) Criticité temporelle

Plus en détail

Synergies entre Artisan Studio et outils PLM

Synergies entre Artisan Studio et outils PLM SysML France 13 Novembre 2012 William Boyer-Vidal Regional Sales Manager Southern Europe Synergies entre Artisan Studio et outils PLM 2012 2012 Atego. Atego. 1 Challenges & Tendances Complexité des produits

Plus en détail

NORME INTERNATIONALE INTERNATIONAL STANDARD. Dispositifs à semiconducteurs Dispositifs discrets. Semiconductor devices Discrete devices

NORME INTERNATIONALE INTERNATIONAL STANDARD. Dispositifs à semiconducteurs Dispositifs discrets. Semiconductor devices Discrete devices NORME INTERNATIONALE INTERNATIONAL STANDARD CEI IEC 747-6-3 QC 750113 Première édition First edition 1993-11 Dispositifs à semiconducteurs Dispositifs discrets Partie 6: Thyristors Section trois Spécification

Plus en détail

Les portes logiques. Voici les symboles des trois fonctions de base. Portes AND. Portes OR. Porte NOT

Les portes logiques. Voici les symboles des trois fonctions de base. Portes AND. Portes OR. Porte NOT Les portes logiques Nous avons jusqu ici utilisé des boutons poussoirs et une lampe pour illustrer le fonctionnement des opérateurs logiques. En électronique digitale, les opérations logiques sont effectuées

Plus en détail

Système binaire. Algèbre booléenne

Système binaire. Algèbre booléenne Algèbre booléenne Système binaire Système digital qui emploie des signaux à deux valeurs uniques En général, les digits employés sont 0 et 1, qu'on appelle bits (binary digits) Avantages: on peut utiliser

Plus en détail

Manipulations du laboratoire

Manipulations du laboratoire Manipulations du laboratoire 1 Matériel Les manipulations de ce laboratoire sont réalisées sur une carte électronique comprenant un compteur 4-bit asynchrone (74LS93) avec possibilité de déclenchement

Plus en détail

DSL. Domain Specific Language. À l'aide des technologies Eclipse Modeling. Goulwen Le Fur goulwen.lefur@obeo.fr. Le 23 novembre 2012

DSL. Domain Specific Language. À l'aide des technologies Eclipse Modeling. Goulwen Le Fur goulwen.lefur@obeo.fr. Le 23 novembre 2012 DSL Domain Specific Language À l'aide des technologies Eclipse Modeling Le 23 novembre 2012 Goulwen Le Fur goulwen.lefur@obeo.fr Le but de cette session Montrer : Ce qu'est-un DSL/DSM Comment implémenter

Plus en détail

Plan. Department of Informatics

Plan. Department of Informatics Plan 1. Application Servers 2. Servlets, JSP, JDBC 3. J2EE: Vue d ensemble 4. Distributed Programming 5. Enterprise JavaBeans 6. Enterprise JavaBeans: Special Topics 7. Prise de recul critique Enterprise

Plus en détail

Préparer un état de l art

Préparer un état de l art Préparer un état de l art Khalil DRIRA LAAS-CNRS, Toulouse Unité de recherche ReDCAD École Nationale d ingénieurs de Sfax Étude de l état de l art? Une étude ciblée, approfondie et critique des travaux

Plus en détail

DOCUMENTATION MODULE BLOCKCATEGORIESCUSTOM Module crée par Prestacrea - Version : 2.0

DOCUMENTATION MODULE BLOCKCATEGORIESCUSTOM Module crée par Prestacrea - Version : 2.0 DOCUMENTATION MODULE BLOCKCATEGORIESCUSTOM Module crée par Prestacrea - Version : 2.0 INDEX : DOCUMENTATION - FRANCAIS... 2 1. INSTALLATION... 2 2. CONFIGURATION... 2 3. LICENCE ET COPYRIGHT... 3 4. MISES

Plus en détail

PACKZ System Requirements. Version: 2015-05-27. Version: 2015-05-27 Copyright 2015, PACKZ Software GmbH. 1

PACKZ System Requirements. Version: 2015-05-27. Version: 2015-05-27 Copyright 2015, PACKZ Software GmbH. 1 PACKZ System Requirements Version: 2015-05-27 Copyright 2015, PACKZ Software GmbH. All rights reserved.this manual may not be copied, photocopied, reproduced, translated, or converted to any electronic

Plus en détail

SNMP for cloud Jean Parpaillon. SNMP4cloud - 1

SNMP for cloud Jean Parpaillon. SNMP4cloud - 1 SNMP for cloud Jean Parpaillon SNMP4cloud - 1 SNMP4cloud - 2 API SNMP4cloud - 3 Modèle API SNMP4cloud - 4 Modèle API Mise en oeuvre SNMP4cloud - 5 Modèle Langages A, B, C API Mise en oeuvre SNMP4cloud

Plus en détail

Présenté par : Sous la direction de :

Présenté par : Sous la direction de : ANNEE UNIVERSITAIRE 2006 2007 LAYOUT DE SWITCHS RF STAGE EFFECTUE A ST MICROELECTRONICS GRENOBLE Rapport de stage de licence professionnelle EISI option microélectronique microsystèmes Présenté par : Sous

Plus en détail

Introduction au projet ebxml. Alain Dechamps

Introduction au projet ebxml. Alain Dechamps Introduction au projet ebxml Alain Dechamps 1 Introduction ebes Plan Le pourquoi de la réunion Contexte et projet ebxml Fonctionnement Avantages 2 Lexique Business process = processus métier Core component

Plus en détail

L ABC de l acquisition de petites entreprises

L ABC de l acquisition de petites entreprises L ABC de l acquisition de petites entreprises Bienvenue Séries d acquisition de petites entreprises Comment aborder le sujet. Comment vendre aux petites entreprises. Accroître la connaissance des produits

Plus en détail

Quoi de neuf en LabVIEW FPGA 2010?

Quoi de neuf en LabVIEW FPGA 2010? Quoi de neuf en LabVIEW FPGA 2010? Yannick DEGLA Ingénieur d Application Fonctionnalités de LabVIEW FPGA 2010 Nœud d intégration d IP - Importer directement des fichiers.xco de Xilinx ou vos propres VHDL

Plus en détail

Master (filière Réseau) Parcours Recherche: Systèmes Informatiques et Réseaux (RTS)

Master (filière Réseau) Parcours Recherche: Systèmes Informatiques et Réseaux (RTS) Master (filière Réseau) Parcours Recherche: Systèmes Informatiques et Réseaux (RTS) Responsables: Tanguy Risset & Marine Minier Tanguy.Risset@insa-lyon.fr Marine.minier@insa-lyon.fr http://master-info.univ-lyon1.fr/m2rts/

Plus en détail

IRL : Simulation distribuée pour les systèmes embarqués

IRL : Simulation distribuée pour les systèmes embarqués IRL : Simulation distribuée pour les systèmes embarqués Yassine El Khadiri, 2 ème année Ensimag, Grenoble INP Matthieu Moy, Verimag Denis Becker, Verimag 19 mai 2015 1 Table des matières 1 MPI et la sérialisation

Plus en détail

Les systèmes embarqués Introduction. Richard Grisel Professeur des Universités Université de Rouen Nacer Abouchi Professeur ESCPE Lyon

Les systèmes embarqués Introduction. Richard Grisel Professeur des Universités Université de Rouen Nacer Abouchi Professeur ESCPE Lyon Les systèmes embarqués Introduction Richard Grisel Professeur des Universités Université de Rouen Nacer Abouchi Professeur ESCPE Lyon Introduction aux systèmes embarqués Définition. Caractéristiques d

Plus en détail

CONTEC CO., LTD. Novembre 2010

CONTEC CO., LTD. Novembre 2010 La gamme CONTEC CONTEC CO., LTD. Novembre 2010 1 Agenda Introduction Data acquisition and control Data Communication Expansion chassis and accessory Distributed I/O and media converter Stainless steel

Plus en détail

Monitor LRD. Table des matières

Monitor LRD. Table des matières Folio :1/6 Table des matières 1.Installation du logiciel... 3 2.Utilisation du logiciel... 3 2.1.Description de la fenêtre de commande... 3 2.1.1.Réglage des paramètres de communication... 4 2.1.2.Boutons

Plus en détail

Moteur DC: Comment faire varier sa vitesse?

Moteur DC: Comment faire varier sa vitesse? Moteur DC: Comment faire varier sa vitesse? Zone d'utilisation Moteur à excitation shunt Influence I e Petite perturbation : e.g. augmentation vitesse À partir de P : couple moteur P'' < couple résistant

Plus en détail

physicien diplômé EPFZ originaire de France présentée acceptée sur proposition Thèse no. 7178

physicien diplômé EPFZ originaire de France présentée acceptée sur proposition Thèse no. 7178 Thèse no. 7178 PROBLEMES D'OPTIMISATION DANS LES SYSTEMES DE CHAUFFAGE A DISTANCE présentée à l'ecole POLYTECHNIQUE FEDERALE DE ZURICH pour l'obtention du titre de Docteur es sciences naturelles par Alain

Plus en détail

Thème 3 Conception et vérification d architectures de systèmes sur puce

Thème 3 Conception et vérification d architectures de systèmes sur puce Thème 3 Conception et vérification d architectures de systèmes sur puce Conception et simulation Frédéric Pétrot Vérification Laurence Pierre Conception et vérification d architectures de systèmes sur

Plus en détail

Cours de Java. Sciences-U Lyon. Java - Introduction Java - Fondamentaux Java Avancé. http://www.rzo.free.fr

Cours de Java. Sciences-U Lyon. Java - Introduction Java - Fondamentaux Java Avancé. http://www.rzo.free.fr Cours de Java Sciences-U Lyon Java - Introduction Java - Fondamentaux Java Avancé http://www.rzo.free.fr Pierre PARREND 1 Octobre 2004 Sommaire Java Introduction Java Fondamentaux Histoire de Java Machine

Plus en détail

SERVEUR DÉDIÉ DOCUMENTATION

SERVEUR DÉDIÉ DOCUMENTATION SERVEUR DÉDIÉ DOCUMENTATION Release 5.0.6.0 19 Juillet 2013 Copyright 2013 GIANTS Software GmbH, All Rights Reserved. 1/9 CHANGE LOG Correction de bug divers (5.0.6.0) Ajout d une option de relance automatique

Plus en détail

03/2013. Mod: WOKI-60IP/TR. Production code: DTWIC 6000

03/2013. Mod: WOKI-60IP/TR. Production code: DTWIC 6000 03/2013 Mod: WOKI-60IP/TR Production code: DTWIC 6000 ENCASTRABLE INDUCTION DROP IN INDUCTION 11/2011 TECHNICAL FEATURES DOCUMENTATION S.A.V. Notice d utilisation : FX00326-A Guide d intervention : ---

Plus en détail

IFT2255 : Génie logiciel

IFT2255 : Génie logiciel IFT2255 : Génie logiciel Chapitre 6 - Analyse orientée objets Section 1. Introduction à UML Julie Vachon et Houari Sahraoui 6.1. Introduction à UML 1. Vers une approche orientée objet 2. Introduction ti

Plus en détail

Anticiper et prédire les sinistres avec une approche Big Data

Anticiper et prédire les sinistres avec une approche Big Data Anticiper et prédire les sinistres avec une approche Big Data Julien Cabot Directeur Big Data Analytics OCTO jcabot@octo.com @julien_cabot OCTO 2013 50, avenue des Champs-Elysées 75008 Paris - FRANCE Tél

Plus en détail

Deadline(s): Assignment: in week 8 of block C Exam: in week 7 (oral exam) and in the exam week (written exam) of block D

Deadline(s): Assignment: in week 8 of block C Exam: in week 7 (oral exam) and in the exam week (written exam) of block D ICM STUDENT MANUAL French 2 JIC-FRE2.2V-12 Module Change Management and Media Research Study Year 2 1. Course overview Books: Français.com, niveau intermédiaire, livre d élève+ dvd- rom, 2ième édition,

Plus en détail

Profil UML pour TLM: contribution à la formalisation et à l automatisation du flot de conception et vérification des systèmes-sur-puce.

Profil UML pour TLM: contribution à la formalisation et à l automatisation du flot de conception et vérification des systèmes-sur-puce. INSTITUT NATIONAL POLYTECHNIQUE DE GRENOBLE N attribué par la bibliothèque T H È S E pour obtenir le grade de DOCTEUR DE L INPG Spécialité : «Micro et Nano Électronique» préparée au laboratoire CEA LIST/DTSI/SOL/LISE

Plus en détail

INTRODUCTION A L ELECTRONIQUE NUMERIQUE ECHANTILLONNAGE ET QUANTIFICATION I. ARCHITECTURE DE L ELECRONIQUE NUMERIQUE

INTRODUCTION A L ELECTRONIQUE NUMERIQUE ECHANTILLONNAGE ET QUANTIFICATION I. ARCHITECTURE DE L ELECRONIQUE NUMERIQUE INTRODUCTION A L ELECTRONIQUE NUMERIQUE ECHANTILLONNAGE ET QUANTIFICATION I. ARCHITECTURE DE L ELECRONIQUE NUMERIQUE Le schéma synoptique ci-dessous décrit les différentes étapes du traitement numérique

Plus en détail

Software and Hardware Datasheet / Fiche technique du logiciel et du matériel

Software and Hardware Datasheet / Fiche technique du logiciel et du matériel Software and Hardware Datasheet / Fiche technique du logiciel et du matériel 1 System requirements Windows Windows 98, ME, 2000, XP, Vista 32/64, Seven 1 Ghz CPU 512 MB RAM 150 MB free disk space 1 CD

Plus en détail

Programmation de services en téléphonie sur IP

Programmation de services en téléphonie sur IP Programmation de services en téléphonie sur IP Présentation de projet mémoire Grégory Estienne Sous la supervision du Dr. Luigi Logrippo Introduction La téléphonie sur IP comme support à la programmation

Plus en détail

Programmation d'agents intelligents Vers une refonte des fils de raisonnement. Stage de fin d'études Master IAD 2006

Programmation d'agents intelligents Vers une refonte des fils de raisonnement. Stage de fin d'études Master IAD 2006 vendredi 8 septembre 2006 Programmation d'agents intelligents Vers une refonte des fils de raisonnement Stage de fin d'études Master IAD 2006 Benjamin DEVEZE Responsable : M. Patrick TAILLIBERT Plan Plan

Plus en détail

Projet Viticulture - TP 3 : bases de données distantes BTS Services informatiques aux organisations

Projet Viticulture - TP 3 : bases de données distantes BTS Services informatiques aux organisations Projet Viticulture TP 3 : bases de données externes Description du thème Partie 1 : bases de données locales SQLite Partie 2 : projet H2O stockage local Partie 3 : bases de données distantes Partie 4 :

Plus en détail

Principes. 2A-SI 3 Prog. réseau et systèmes distribués 3. 3 Programmation en CORBA. Programmation en Corba. Stéphane Vialle

Principes. 2A-SI 3 Prog. réseau et systèmes distribués 3. 3 Programmation en CORBA. Programmation en Corba. Stéphane Vialle 2A-SI 3 Prog. réseau et systèmes distribués 3. 3 Programmation en CORBA Stéphane Vialle Stephane.Vialle@supelec.fr http://www.metz.supelec.fr/~vialle 1 Principes 2 Architecture 3 4 Aperçu d utilisation

Plus en détail

Extrait des Exploitations Pédagogiques

Extrait des Exploitations Pédagogiques Pédagogiques Module : Compétitivité et créativité CI Première : Compétitivité et créativité CI institutionnel : Développement durable et compétitivité des produits Support : Robot - O : Caractériser les

Plus en détail

2015 // 2016. des formations. programme. Retrouvez toutes ces informations sur enseirb-matmeca.bordeaux-inp.fr

2015 // 2016. des formations. programme. Retrouvez toutes ces informations sur enseirb-matmeca.bordeaux-inp.fr programme des formations Filière Électronique...2 Filière Informatique...3 Filière Mathématique et Mécanique...4 Filière Télécommunications...5 Filière Réseaux et Systèmes d Information...6 Filière Systèmes

Plus en détail

Algorithmique des Systèmes Répartis Protocoles de Communications

Algorithmique des Systèmes Répartis Protocoles de Communications Algorithmique des Systèmes Répartis Protocoles de Communications Master Informatique Dominique Méry Université de Lorraine 1 er avril 2014 1 / 70 Plan Communications entre processus Observation et modélisation

Plus en détail

Cedric Dumoulin (C) The Java EE 7 Tutorial http://docs.oracle.com/javaee/7/tutorial/doc/

Cedric Dumoulin (C) The Java EE 7 Tutorial http://docs.oracle.com/javaee/7/tutorial/doc/ Cedric Dumoulin (C) The Java EE 7 Tutorial http://docs.oracle.com/javaee/7/tutorial/doc/ Webographie The Java EE 7 Tutorial http://docs.oracle.com/javaee/7/tutorial/doc/ Les slides de cette présentation

Plus en détail

Cours 7 : Programmation d une chaîne d acquisition

Cours 7 : Programmation d une chaîne d acquisition Cours 7 : Programmation d une chaîne d acquisition 4 Concepts 4 Programmation Cible Pentium : Langages de haut niveau Langage graphique G sous LabView + librairies de VI ; Langage C + librairies de fonctions

Plus en détail

Formation. Mastère Spécialisé en Sécurité des Systèmes Intégrés & Applications. Post-master s degree in Security of Integrated Systems & Applications

Formation. Mastère Spécialisé en Sécurité des Systèmes Intégrés & Applications. Post-master s degree in Security of Integrated Systems & Applications Formation Mastère Spécialisé en Sécurité des Systèmes Intégrés & Applications Post-master s degree in Security of Integrated Systems & Applications Développer ses talents Ce mastère prépare à un emploi

Plus en détail

Aiguilleurs de courant intégrés monolithiquement sur silicium et leurs associations pour des applications de conversion d'énergie

Aiguilleurs de courant intégrés monolithiquement sur silicium et leurs associations pour des applications de conversion d'énergie Aiguilleurs de courant intégrés monolithiquement sur silicium et leurs associations pour des applications de conversion d'énergie ABDELILAH EL KHADIRY ABDELHAKIM BOURENNANE MARIE BREIL DUPUY FRÉDÉRIC RICHARDEAU

Plus en détail

Instructions Mozilla Thunderbird Page 1

Instructions Mozilla Thunderbird Page 1 Instructions Mozilla Thunderbird Page 1 Instructions Mozilla Thunderbird Ce manuel est écrit pour les utilisateurs qui font déjà configurer un compte de courrier électronique dans Mozilla Thunderbird et

Plus en détail

27/11/12 Nature. SDK Python et Java pour le développement de services ACCORD Module(s)

27/11/12 Nature. SDK Python et Java pour le développement de services ACCORD Module(s) Propriétés du Document Source du Document SDK_accords.odt Titre du Document SDK Python et Java pour le développement de services ACCORD Module(s) PyaccordsSDK, JaccordsSDK Responsable Prologue Auteur(s)

Plus en détail

Modules du DUT Informatique proposés pour des DCCE en 2014/2015

Modules du DUT Informatique proposés pour des DCCE en 2014/2015 Modules du DUT Informatique proposés pour des DCCE en 2014/2015 Résumé de l offre : Parmi les 5500 heures d enseignement informatique dispensées au département informatique de l IUT (avec 2880 heures de

Plus en détail

Usine Numérique Intégration Produit Production

Usine Numérique Intégration Produit Production Usine Numérique Intégration Produit Production Bernard Hoessler Manufacturing Business Group EMEA Paris 25 Novembre 2010 Du monde virtuel au monde réél Page 2 Stratégie développée dans l industrie Exploiter

Plus en détail

Mentions légales (non traduites)... 3. 1. Introduction... 4. 2. Légendes... 4. 3. Schémas de raccordement... 5. 4. Configuration de la S16...

Mentions légales (non traduites)... 3. 1. Introduction... 4. 2. Légendes... 4. 3. Schémas de raccordement... 5. 4. Configuration de la S16... 1 2 Table des matières Consignes de sécurité importantes (non traduites)... 3 Mentions légales (non traduites)... 3 Garantie limitée (non traduite)... 3 1. Introduction... 4 2. Légendes... 4 3. Schémas

Plus en détail

Informatique Générale

Informatique Générale Informatique Générale Guillaume Hutzler Laboratoire IBISC (Informatique Biologie Intégrative et Systèmes Complexes) guillaume.hutzler@ibisc.univ-evry.fr Cours Dokeos 625 http://www.ens.univ-evry.fr/modx/dokeos.html

Plus en détail

[ Rencontres Mondiales du Logiciel Libre 2011 Lundi 11 juillet 2011 ] Introduction à l'open Hardware Auteur : Dr Ir Robert Viseur

[ Rencontres Mondiales du Logiciel Libre 2011 Lundi 11 juillet 2011 ] Introduction à l'open Hardware Auteur : Dr Ir Robert Viseur [ Rencontres Mondiales du Logiciel Libre 2011 Lundi 11 juillet 2011 ] Introduction à l'open Hardware Auteur : Dr Ir Robert Viseur Qui suis-je? Robert Viseur Ingénieur Civil, Mastère en Management de l'innovation,

Plus en détail

Outils de CAO. Ecole de microélectronique IN2P3. 12-15 octobre 2009, La Londe Les Maures. C. Colledani

Outils de CAO. Ecole de microélectronique IN2P3. 12-15 octobre 2009, La Londe Les Maures. C. Colledani Outils de CAO Ecole de microélectronique IN2P3 12-15 octobre 2009, La Londe Les Maures C. Colledani Réseau IAO-CAO électronique IN2P3 Mission: Doter les électroniciens de l'in2p3 des outils de conception

Plus en détail

Improving the breakdown of the Central Credit Register data by category of enterprises

Improving the breakdown of the Central Credit Register data by category of enterprises Improving the breakdown of the Central Credit Register data by category of enterprises Workshop on Integrated management of micro-databases Deepening business intelligence within central banks statistical

Plus en détail

WINDEV MOBILE. ios SMARTPHONE SUPPORT: IOS, ANDROID, WINDOWS PHONE 8.

WINDEV MOBILE. ios SMARTPHONE SUPPORT: IOS, ANDROID, WINDOWS PHONE 8. WINDEV MOBILE ios SMARTPHONE SUPPORT: IOS, ANDROID, WINDOWS PHONE 8. WINDOWS Mobile permet de créer des applications pour les smartphones, les tablettes et les terminaux mobiles. Les applications sont

Plus en détail

PLM 2.0 : Mise à niveau et introduction à l'offre version 6 de Dassault systèmes

PLM 2.0 : Mise à niveau et introduction à l'offre version 6 de Dassault systèmes IBM Software Group 2008 IBM Corporation and Dassault Systèmes PLM 2.0 : Mise à niveau et introduction à l'offre version 6 de Dassault systèmes 2009 2007 IBM Corporation 2 PLM : de l historique 2D-3D à

Plus en détail

titre : CENTOS_CUPS_install&config Système : CentOs 5.7 Technologie : Cups 1.3.7 Auteur : Charles-Alban BENEZECH

titre : CENTOS_CUPS_install&config Système : CentOs 5.7 Technologie : Cups 1.3.7 Auteur : Charles-Alban BENEZECH 2012 Les tutos à toto CUPS server - install and configure Réalisée sur CentOS 5.7 Ecrit par Charles-Alban BENEZECH 2012 titre : CENTOS_CUPS_install&config Système : CentOs 5.7 Technologie : Cups 1.3.7

Plus en détail

EPREUVE OPTIONNELLE d INFORMATIQUE CORRIGE

EPREUVE OPTIONNELLE d INFORMATIQUE CORRIGE EPREUVE OPTIONNELLE d INFORMATIQUE CORRIGE QCM Remarque : - A une question correspond au moins 1 réponse juste - Cocher la ou les bonnes réponses Barème : - Une bonne réponse = +1 - Pas de réponse = 0

Plus en détail

Fiche produit ifinance v4

Fiche produit ifinance v4 Fiche produit ifinance v4 2005-2015, Synium Software GmbH Traduction française 2003-2015, SARL Mac V.F. Philippe Bonnaure http://www.macvf.fr support@macvf.fr Version 4 du 25/06/2015 Identification du

Plus en détail

Thank you for choosing the Mobile Broadband USB Stick. With your USB Stick, you can access a wireless network at high speed.

Thank you for choosing the Mobile Broadband USB Stick. With your USB Stick, you can access a wireless network at high speed. Thank you for choosing the Mobile Broadband USB Stick. With your USB Stick, you can access a wireless network at high speed. Note: This manual describes the appearance of the USB Stick, as well as the

Plus en détail

Prise en compte des ressources dans les composants logiciels parallèles

Prise en compte des ressources dans les composants logiciels parallèles Prise en compte des ressources dans les composants logiciels parallèles Aperçus de l action RASC et du projet Concerto F. Guidec Frederic.Guidec@univ-ubs.fr Action RASC Plan de cet exposé Contexte Motivations

Plus en détail

CATALOGUE FORMATION. Product Lifecycle Management. Juin 2013

CATALOGUE FORMATION. Product Lifecycle Management. Juin 2013 CATALOGUE FORMATION Product Lifecycle Management Juin 2013 s de formation ENOVIA V6 ENOVIA V6 Plateforme Collaborative 5 ENOVIA V6 Installation et Administration 9 ENOVIA V6 Implémentation et Développement

Plus en détail

Topologies et Outils d Alertesd

Topologies et Outils d Alertesd Topologies et Outils d Alertesd IDS / IDP DEFINITIONS IDS : SDI / Système de détection d intrusion IDP : SPI / Système de protection d intrusion IDS / IDP Statfull matriciels ACTIVITE IDP : Coupe circuit

Plus en détail

Projet Active Object

Projet Active Object Projet Active Object TAO Livrable de conception et validation Romain GAIDIER Enseignant : M. Noël PLOUZEAU, ISTIC / IRISA Pierre-François LEFRANC Master 2 Informatique parcours MIAGE Méthodes Informatiques

Plus en détail

Gouvernance IT : par où commencer? Hubert Lalanne DE, Chief Architect for Industries IBM Software France

Gouvernance IT : par où commencer? Hubert Lalanne DE, Chief Architect for Industries IBM Software France Conférence IDC Gouvernance IT - Paris 6 Avril 2011 Gouvernance IT : par où commencer? Hubert Lalanne DE, Chief Architect for Industries IBM Software France 2011 IBM Corporation Quels sont les ingrédients

Plus en détail

Installation de VirtualPOPC-1 sur Ubuntu Server 10.04 LTS 64bits

Installation de VirtualPOPC-1 sur Ubuntu Server 10.04 LTS 64bits Titre Propriétaire Classification Date dernière modification Chemin et NomFichier Installation de VirtualPOPC-1 sur Ubuntu Server 10.04 LTS 64bits Penas Cédric Privé 19.09.10 \\10.1.1.1\FilesTD\Group4\Personnel\Penas\ViSaG\Installation_VirtualP

Plus en détail

Concepts clés associés aux outils logiciels, exemples

Concepts clés associés aux outils logiciels, exemples Chercheurs, les outils logiciels :: une voie originale pour valoriser votre expertise et et vos compétences!! Concepts clés associés aux outils logiciels, exemples Jeudi 2 octobre 2008 Gilles Bézard ICAM

Plus en détail

Quelle souveraineté numérique à l âge du Cloud Computing? Didier DANET Pôle Action globale et forces terrestres Ecoles de Saint-Cyr Coëtquidan

Quelle souveraineté numérique à l âge du Cloud Computing? Didier DANET Pôle Action globale et forces terrestres Ecoles de Saint-Cyr Coëtquidan Quelle souveraineté numérique à l âge du Cloud Computing? Didier DANET Pôle Action globale et forces terrestres Ecoles de Saint-Cyr Coëtquidan Les opinions exprimées au cours de l exposé (blablabla ) Droits

Plus en détail

Informatique UE 102. Jean-Yves Antoine. Architecture des ordinateurs et Algorithmique de base. UFR Sciences et Techniques Licence S&T 1ère année

Informatique UE 102. Jean-Yves Antoine. Architecture des ordinateurs et Algorithmique de base. UFR Sciences et Techniques Licence S&T 1ère année UFR Sciences et Techniques Licence S&T 1ère année Informatique UE 102 Architecture des ordinateurs et Algorithmique de base Jean-Yves Antoine http://www.info.univ-tours.fr/~antoine/ UFR Sciences et Techniques

Plus en détail

Maximum Capacity / Capacité maximal: 10 kg/min

Maximum Capacity / Capacité maximal: 10 kg/min Consumer and Corporate Affairs Consommation et Corporations Canada Legal Metrology Métrologie légale Supercedes Conditional Approval T Dated 1992/12/08 NOTICE OF APPROVAL Issued by statutory authority

Plus en détail

Les marchés Security La méthode The markets The approach

Les marchés Security La méthode The markets The approach Security Le Pôle italien de la sécurité Elsag Datamat, une société du Groupe Finmeccanica, représente le centre d excellence national pour la sécurité physique, logique et des réseaux de télécommunication.

Plus en détail

Le multiplexage. Sommaire

Le multiplexage. Sommaire Sommaire Table des matières 1- GENERALITES... 2 1-1 Introduction... 2 1-2 Multiplexage... 4 1-3 Transmission numérique... 5 2- LA NUMERATION HEXADECIMALE Base 16... 8 3- ARCHITECTURE ET PROTOCOLE DES RESEAUX...

Plus en détail

Architecture des ordinateurs TD1 - Portes logiques et premiers circuits

Architecture des ordinateurs TD1 - Portes logiques et premiers circuits Architecture des ordinateurs TD1 - Portes logiques et premiers circuits 1 Rappel : un peu de logique Exercice 1.1 Remplir la table de vérité suivante : a b a + b ab a + b ab a b 0 0 0 1 1 0 1 1 Exercice

Plus en détail

Le développement d'applications informatiques

Le développement d'applications informatiques Le développement d'applications informatiques Des bibliothèques de fonctions aux "design patterns" Copyright 2011-2015 Yves Marcoux 1 Sources bibliographiques Ramon, Jorge. "Preface". Ext JS 3.0 Cookbook:

Plus en détail

Copyright 2014, Oracle and/or its affiliates. All rights reserved.

Copyright 2014, Oracle and/or its affiliates. All rights reserved. 1 Safe Harbor Statement The following is intended to outline our general product direction. It is intended for information purposes only, and may not be incorporated into any contract. It is not a commitment

Plus en détail

Une version javascript sera disponible directement dans le cours prochainement.

Une version javascript sera disponible directement dans le cours prochainement. Author : Cédric Vanconingsloo Ce cours est principalement axé sur la compréhension du fonctionnement d'un ordinateur et l'étude du seul langage qu'il connaisse, le binaire. De ce fait, le cours est relativement

Plus en détail

Règlement sur les baux visés à la Loi no 1 de 1977 portant affectation de crédits. Appropriation Act No. 1, 1977, Leasing Regulations CODIFICATION

Règlement sur les baux visés à la Loi no 1 de 1977 portant affectation de crédits. Appropriation Act No. 1, 1977, Leasing Regulations CODIFICATION CANADA CONSOLIDATION CODIFICATION Appropriation Act No. 1, 1977, Leasing Regulations Règlement sur les baux visés à la Loi no 1 de 1977 portant affectation de crédits C.R.C., c. 320 C.R.C., ch. 320 Current

Plus en détail

Chapitre 1 : Introduction aux méthodologies de conception et de vérification pour SE

Chapitre 1 : Introduction aux méthodologies de conception et de vérification pour SE Chapitre 1 : Introduction aux méthodologies de conception et de vérification pour SE 1. Rappel de ce qu est un SE 2. Conception au niveau système (ESL) Méthodologie de conception (codesign logiciel/matériel)

Plus en détail

Aspects de droit anglais

Aspects de droit anglais UNIVERSITE PANTHEON-SORBONNE MASTER ETUDES JURIDIQUES COMPARATIVES EXAMEN TERMINAL ANNEE UNIVERSITAIRE 2009-2010 Tous documents autorisés Veuillez rédiger une réflexion comparative personnelle et critique

Plus en détail

Composants Logiciels. Le modèle de composant de CORBA. Plan

Composants Logiciels. Le modèle de composant de CORBA. Plan Composants Logiciels Christian Pérez Le modèle de composant de CORBA Année 2010-11 1 Plan Un rapide tour d horizon de CORBA 2 Introduction au modèle de composant de CORBA Définition de composants CORBA

Plus en détail

Tier 1 / Tier 2 relations: Are the roles changing?

Tier 1 / Tier 2 relations: Are the roles changing? Tier 1 / Tier 2 relations: Are the roles changing? Alexandre Loire A.L.F.A Project Manager July, 5th 2007 1. Changes to roles in customer/supplier relations a - Distribution Channels Activities End customer

Plus en détail

Symantec Protection Center 2.1 Sizing and Scalability Guide

Symantec Protection Center 2.1 Sizing and Scalability Guide Symantec Protection Center 2.1 Sizing and Scalability Guide Symantec Protection Center Sizing and Scalability Guide Le logiciel décrit dans cet ouvrage est fourni dans le cadre d'un contrat de licence

Plus en détail

Chapitre 1: Introduction générale

Chapitre 1: Introduction générale Chapitre 1: Introduction générale Roch Glitho, PhD Associate Professor and Canada Research Chair My URL - http://users.encs.concordia.ca/~glitho/ Table des matières Définitions et examples Architecture

Plus en détail

M2-Images. Rendu Temps Réel - OpenGL 4 et compute shaders. J.C. Iehl. December 18, 2013

M2-Images. Rendu Temps Réel - OpenGL 4 et compute shaders. J.C. Iehl. December 18, 2013 Rendu Temps Réel - OpenGL 4 et compute shaders December 18, 2013 résumé des épisodes précédents... création des objets opengl, organisation des données, configuration du pipeline, draw,... opengl 4.3 :

Plus en détail

Quoi de neuf en contrôle/commande et systèmes embarqués (RIO, WSN...)?

Quoi de neuf en contrôle/commande et systèmes embarqués (RIO, WSN...)? Quoi de neuf en contrôle/commande et systèmes embarqués (RIO, WSN...)? Mathieu PACE National Instruments, Ingénieur d applications L architecture RIO se développe Processeur FPGA E/S E/S E/S personnalisées

Plus en détail

OSIATISBIZ UN SERVICE DESK HORS DU COMMUN EQUANT SOLUTIONBIZ PARTAGEONS NOS SAVOIRS EXTRAIT DU Nº9

OSIATISBIZ UN SERVICE DESK HORS DU COMMUN EQUANT SOLUTIONBIZ PARTAGEONS NOS SAVOIRS EXTRAIT DU Nº9 OSIATISBIZ PARTAGEONS NOS SAVOIRS EXTRAIT DU Nº9 SOLUTIONBIZ EQUANT UN SERVICE DESK HORS DU COMMUN Gilles Deprost, responsable Knowledge Management & Tools d Equant 2F LES PHASES CLÉS DU PROJET SYNERGY

Plus en détail

Le cadre des Web Services Partie 1 : Introduction

Le cadre des Web Services Partie 1 : Introduction Sécurité en ingénierie du Logiciel Le cadre des Web Services Partie 1 : Introduction Alexandre Dulaunoy adulau@foo.be Sécurité en ingénierie du Logiciel p.1/21 Agenda (partie 1) 1/2 Introduction Services

Plus en détail

IPSAS 32 «Service concession arrangements» (SCA) Marie-Pierre Cordier Baudouin Griton, IPSAS Board

IPSAS 32 «Service concession arrangements» (SCA) Marie-Pierre Cordier Baudouin Griton, IPSAS Board IPSAS 32 «Service concession arrangements» (SCA) Marie-Pierre Cordier Baudouin Griton, IPSAS Board 1 L élaboration de la norme IPSAS 32 Objectif : traitement comptable des «service concession arrangements»

Plus en détail

RTDS G3. Emmanuel Gaudin emmanuel.gaudin@pragmadev.com

RTDS G3. Emmanuel Gaudin emmanuel.gaudin@pragmadev.com RTDS G3 Emmanuel Gaudin emmanuel.gaudin@pragmadev.com PragmaDev Dédiée au développement d un AGL pour le développement des applications temps réel et embarquées. Réseau de partenaires: Formations, Service,

Plus en détail

Language requirement: Bilingual non-mandatory - Level 222/222. Chosen candidate will be required to undertake second language training.

Language requirement: Bilingual non-mandatory - Level 222/222. Chosen candidate will be required to undertake second language training. This Category II position is open to all interested parties. Toutes les personnes intéressées peuvent postuler ce poste de catégorie II. Senior Manager, Network and Systems Services Non-Public Funds Information

Plus en détail

Programme «Analyste Programmeur» Diplôme d état : «Développeur Informatique» Homologué au niveau III (Bac+2) (JO N 176 du 1 août 2003) (34 semaines)

Programme «Analyste Programmeur» Diplôme d état : «Développeur Informatique» Homologué au niveau III (Bac+2) (JO N 176 du 1 août 2003) (34 semaines) Programme «Analyste Programmeur» Diplôme d état : «Développeur Informatique» Homologué au niveau III (Bac+2) (JO N 176 du 1 août 2003) (34 semaines) Module 1 : Programmer une application informatique Durée

Plus en détail

TD Architecture des ordinateurs. Jean-Luc Dekeyser

TD Architecture des ordinateurs. Jean-Luc Dekeyser TD Architecture des ordinateurs Jean-Luc Dekeyser Fiche 1 Nombres de l informatique Exercice 1 Une entreprise désire réaliser la sauvegarde de ses données sur un site distant. Le volume de données à sauvegarder

Plus en détail