Modélisation du transistor MOS

Dimension: px
Commencer à balayer dès la page:

Download "Modélisation du transistor MOS"

Transcription

1 Modélisation du transistor MOS Modélisation petit sinaux Calcul anuel iensionneent Approche siplifiée Master IGIS, spécialité Microélectronique Cours de R. Grisel Récapitulation W VS I µ ncox ( VGS Vth ) V L S µ nc I OX W ( V L GS V ) (1 + λv th S ) nc I µ OX W ( V L GS V ) th I W µ ncox GS th ) L [( V V V ] S V GS W nu t I k x e 1 e L V S nu t

2 Modélisation petits sinaux en réie saturé peret de odéliser le coporteent du MOS en présence de petites variations sur la source, la rille ou le drain. Modélisation petits sinaux en réie saturé : basses fréquences Les capacités ne sont pas prises en coptes

3 Modélisation petits sinaux en réie saturé : basses fréquences IS VGS V S, V Transconductance du os - La variation du courant de drain dans le MOS b I V S SB ds V G, V I V Effet de substrat du os S S V G, V S 1 r ds Résistance de sortie du os I. V G - b. Vs + ds. V Transconductance du transistor : Représente l action des variations de la tension Vs I V S GS µ C n OX W L ( V GS V th ) ( V I GS S V th ) µ C n OX W L I Pour la technoloie 0.8 µ des exeples, µ n C OX 103,6 µa/v²

4 Effet de substrat du transistor : s b bs Peret de répercuter les variations de la tension V BS sur la valeur de la tension de seuil I tn b µ ncox ( VGS Vtn ) VSB Vtn V V SB tn L b I V b I I S V BS W V SB γ + Φ χ ( 0.1 à 0.3). b est souvent nélié devant À prendre en copte si Vsb AC est non nulle F V V tn SB V SB γ + Φ F Résistance de sortie du transistor : r ds représente la résistance de sortie du transistor et odélise l effet de odulation de la lonueur du canal 1 r ds ds I S V S λ I µ ncox W I ( VGS Vtn ) (1 + λvs ) L Effet de odulation de canal court ncox W I µ ( VGS Vtn ) L Courant de saturation La résistance de sortie dépend du paraètre technoloique λ dont la valeur est al contrôlée (esurée pratiqueent) VA1/ λ est la tension Early (d après l appellation BJT)

5 Modélisation petits sinaux en réie saturé : hautes et oyennes fréquences Les capacités C s-sw et C d-sw sont énéraleent nélieables (sauf dans le cas d une réion forteent dopée p + sous l oxyde). Seule C GS (canal + «overlap») est intrinsèque au fonctionneent du coposant, les autres sont des capacité «parasites» Modèle capacitif de Meyer standard (Source et rain de diension nulle) Pour le réie ohique, on notera qu'à Vds0 Pour le réie saturé, avec Vst0, le odèle donne :

6 Les capacités s et SPICE level 1 Leff Lonueur effective de canal, Wphy lareur physique PHI est le potentiel de surface 1) ) et Forte inversion et réie ohique 3) et Forte inversion et réie saturé éfinitions u point de vue électrique la lonueur à considérer pour le fonctionneent intrinsèque du transistor MOS est la distance entre les deux zones de diffusion forant la source et le drain. Cette lonueur effective est différente de la lonueur dessinée L du concepteur, du fait de l'erreur de ravure notée XL et du débordeent des zones diffusées sous la rille notée L. On définit donc une lonueur effective de canal : Pour la déterination de la lareur effective de rille, on doit considérer l'erreur de ravure XW et une seconde erreur, connue sous le tere "effet de bec d'oiseau" due à une déforation latérale W de la surface active au niveau de la transition entre l'oxyde ince de rille et l'oxyde épais localisé. La lareur effective de canal est ainsi donnée par : et parfois utilisées pour la déterination des capacités de jonction sont appelées respectiveent lonueur et lareur physique du transistor.

7 Classification des odèles (intrinsèques) Preière énération : Ils sont essentielleent basés sur les lois universelles de la physique des sei-conducteurs. Les représentant types sont les odèles de niveaux 1, et 3 de type SPICE Berkeley. Si le niveau 1 est aintenant totaleent obsolète, les niveaux et 3 continuent à être utilisés pour la siulation électrique des circuits nuériques. Ils sont connus pour être susceptibles de présenter des discontinuités entre les différentes zones de fonctionneent notaent pour les transistors subicroniques et ils odélisent très al la conductance de sortie et le fonctionneent en faible inversion. Ils sont peu à peu abandonnés. Le niveau 3 qui est un odèle partielleent epirique donn énéraleent de eilleurs résultats que le niveau souvent considéré coe un odèle "acadéique". euxièe énération : Par rapport à la énération précédente, un rand nobre de paraètres électriques epiriques sont introduits pour prendre en copte les effets de canal court et étroit, essayer de résoudre les problèes de converence et aéliorer le fonctionneent en faible inversion. Contraireent au niveau et 3 pour lesquels quelques effets de dépendances éoétriques sont directeent codés dans le odèle, les odèles de deuxièe énération utilisent une structure de calcul additionnelle contenant les dépendances éoétriques. ans cette structure additionnelle, Leff et Weff étant respectiveent la lonueur et la lareur effective de canal, chaque paraètre X sujet à des dépendances éoétriques est considéré sous la fore coposite suivante : Cette technique, utilisable sous fore discrète pour les odèles de preière énération avec certains siulateurs, est connue sous l'anlicise de "binnin". Schéatiqueent, faire du "binnin" sur un odèle consiste à diviser l'espace des valeurs possibles pour L et W en plusieurs réions adjacentes et d'affecter pour chaque réion un odèle différent. La continuité entre les réions est supposée être assurée par la fore coposite des paraètres sujets au "binnin". Les représentants types de cette énération de odèle sont BSIM1 et BSIM développés à l'université de Berkeley. Leur caractère essentielleent epirique rendant très copliquée l'extraction des paraètres, ces odèles ont très vite été abandonnés et supplantés par les odèles de troisièe énération. Classification des odèles (intrinsèques) (suite) Troisièe énération : u fait de la réduction des tensions d'alientation et de la forte deande en tere de circuits électroniques basse consoation, les concepteurs des dispositifs actifs analoiques ont tendance autant que faire ce peut, d'une part à fixer les points de fonctionneent des transistors entre la zone ohique et saturée, et d'autre part à travailler au voisinae de la faible inversion (inversion dite odérée) pour optiiser la dynaique des sinaux, Or, dans ces zones de transition, les odèles précédents s'avèrent totaleent inappropriés pour une évaluation fiable des perforances des circuits réalisés. Ceci a donné naissance dans les années 1990 à une troisièe énération de odèles. Ces odèles sont de nouveau basés sur les lois de la physique des sei-conducteurs et sont caractérisés par une équation unique quelque soit la zone de fonctionneent. Le raccordeent entre les différentes zones de fonctionneent est assuré par l'utilisation intensive de fonctions de lissae. Par principe, ces odèles copacts sont continus pour eux êe et leurs dérivées. Les deux représentants types sont BSIM3v3 développé à l'université de Berkeley et MM9 développé à Philips Eindhoven. Le code SPICE et le anuel étant is ratuiteent à la disposition des utilisateurs sur le réseau par Berkeley ( BSIM3v3 est rapideent devenu de fait le odèle standard utilisé actuelleent en conception icro-électronique. epuis l'an 000, BSIM4, un nouveau odèle offrant quelques aéliorations, principaleent en tere de bruit et de odélisation extrinsèque par rapport à BSIM3v3, est proposé par Berkeley.

8 Schéa équivalent petit sinal oyennes fréquences (Meyer standard) Schéa équivalent avec SB Schéa équivalent avec Cdb nélieable

9 Schéa équivalent capacitif coplet Récapitulation : TRIOE : Vds faible, canal unifore, la capacité totale est W.L.C OX, et odélisée par répartition équitable entre le drain et la source (bon odèle pour Vds de niveau correct) C d C 1 W L s C OX SATURATION : Pinceent au niveau du rain, C d est supposée nulle, la valeur de C s provient des calculs précédents C W L 3 C d 0 s C OX

10 BLOCAGE : Pas de canal de conduction, donc CsCd0, cependant on odélise l effet capacitif de la rille en affectant la valeur W.L.COX à la capacité «Grille-Bulk». C s Cd 0 C b W LC OX Capacité additionnelle : Les diffusions source et drain s étendent léèreent sous la rille (voir la fiure), si on appelle L OV, la lonueur de chevaucheent («Overlap»), il faut tenir copte de la capacité de chevaucheent COV qui doit être ajoutée aux valeurs de Cs et Cd dans les forules précédentes, typiqueent L OV 0,05L à 0,1L C W L OV C OV OX Capacités de jonction dues aux jonctions PN inverse entre les diffusions source et drain et le substrat (B), ce sont des forules classiques de jonction PN : C sb C sb0 V 1 + V C SB 0 db0 V 1 + V 0 Capacité Source Substrat (Bulk), C sb0 est la valeur à V SB 0, V SB la tension inverse et V 0 le potentiel interne de la jonction (0,6 à 0,8V) C Capacité rain Substrat (Bulk), C db db0 est la valeur à V B 0, V B la tension inverse et V 0 le potentiel interne de la jonction B (0,6 à 0,8V)

11 Exeple de calcul Soit un transistor MOS, canal N, pour lequel on a les valeurs suivantes : t ox 10 n; L1 µ; W10 µ; L OV 0,05 µ; C sb0 C db0 10fF; V 0 0,6V, V SB 1V; V S V;, calculez les capacités quand le transistor est en saturation. Modélisation petits sinaux : réie ohique r 1 ds µ C ds n OX W ( V L Le transistor est équivalent à une résistance coandée en tension. GS V tn Vs>Vth Vds<Vs-Vth W VS I µ ncox ( VGS Vth ) V L S V 1 W ds µ ncox ( VGS Vtn) Si Vds faible r L ds S )

12 Effet de Cs sur la bande passante Considérons un apli à chare passive donnant le schéa équivalent suivant La ain Av du ontae (vout/vin) correspond à l expression suivante (R srs/r1): A v0 A v 1+ j f f c1 avec f c1 1. π.r.c ' s s Ce qui conduit à l atténuation caractéristique des filtres passe-bas du preier ordre (pôle fc1). L ipédance d entrée devient R1//Cs, elle est pureent capacitive en haute fréquence et conduit au pôle donné ci-dessus L ipédance de sortie reste résistive (RL ds le cas présent)

13 Effet de Cds sur la bande passante Si on reprend l apli à chare passive avec uniqueent l influence de Cds, on obtient, avec une analyse siilaire au cas précédent un pôle avec une fréquence de coupure fc : L ipédance d entrée est résistive L ipédance de sortie correspond à un circuit RC //. f c 1. π.r.c ' L ds Effet de Cd sur la bande passante Cd est une capacité de liaison entre l entrée et la sortie, elle introduit donc une contre-réaction («feedback»), le circuit équivalent siplifié (toujours pour l apli à chare passive) est donné ci-dessous et sa odification «Miller» ensuite (le pont de résistance de polarisation a été volontaireent ois).

14 Le ain Av correspond à l expression suivante C dm.cd Exercice : éonstration A v A v0 R M 1+ R 1 j f f 1+ j f f L S A v0 c4 c3 avec f avec f c3 c3 1. π.m.r < f c4 s.c G,f c4. π.c G La courbe caractéristique (Bode) coence à Av0 (. RL) puis descend à 0db/dec en fc3 jusqu en fc4 ou elle redevient «plate» (1/.Rs) Effet hautes fréquences de toutes les capacités Il faut introduire les 3 effets dans les équations, ce qui est difficile à la ain («hand calculation»)

15 Rearque : Modèle équivalent en T Ce développeent est illustré sur la fiure suivante : A) circuit sans rds B) Ajout d une deuxièe source (le schéa reste équivalent) C) Jonction entre X et G, le courant de Grille reste à 0, on peut replacer la source.vs entre G et S par une résistance sous réserve que le courant reste le êe (donc vs/.vs1/), le odèle final est donc en ). Notez que la résistance vue de la rille reste infinie (i0). i0 id i0 id vs vs A) B) I0 id vs id i0 C) vs isid ) Si l on désire inclure rds dans le odèle équivalent en T, cela conduit au schéa équivalent suivant : Un autre exeple est donné dans lequel la source de courant contrôlée (en tension) est replacée par une source de courant contrôlée par le courant i. vs i

16 Fréquence de transition (f( T ) Un paraètre du MOS en haute fréquence correspond à la fréquence à laquelle le ain en courant de court-circuit est éal à 1. La fiure ontre le odèle utilisé, l entrée est alientée par I i et la sortie est en court-circuit. On a les relations suivantes : I V pc V, C faible > I V o V s p s i o ( C + C ) I p( C + C ) s I d d Pour p jω, le ain est éal à 1pour ω La fréquence de ain unité est donc f s,donc d I i s T o T d s ( C + C ) π s ( C + C ) s d d Modélisation petits sinaux : réie bloqué C s Cd WL OV C OX On court-circuite énéraleent rain-source-substrat pour utiliser le transistor coe capacité (C sb et C db sont nélieables).

17 Modélisation des sources de bruit Principaleent deux sources de bruit dans un MOS : - le bruit therique, associé aux porteurs du canal, - le bruit de "flicker", lié aux électrons piéés dans l interface entre l oxyde et le sei-conducteur. Peuvent être odélisées par des sources de courant (odèles lares et petits sinaux) ou de tension (odèle petits sinaux). V N+ G I N G S Ces sources de bruit entraînent des odifications de la valeur du courant de drain S ensité spectrale du bruit therique Le bruit therique est un bruit blanc (donc constant quelle que soit la fréquence). Sa densité spectrale (en A /Hz) est donnée par la relation suivante : S IW 4kT R 8kT. 3 Réie ohique saturation k, constante de Boltzan, T, tepérature en Kelvin, R, résistance du canal en réie ohique,, transconductance du MOS.

18 ensité spectrale du bruit de "flicker" flicker" Ce bruit évolue en 1/f. Son expression ne chane pas, que l on soit en réie ohique ou en saturation. Sa densité spectrale est donnée par la relation suivante : S If K. K. I C f p ox. L f K f, constante de flicker (donnée technoloique), I, courant de polarisation. ensité spectrale totale - Courant associé Les bruits étant dé-corrélés, la densité spectrale totale s exprie par la relation suivante : SIN SIf + SIW Le courant correspondant à cette densité spectrale est dans la bande de fréquences [f 1,f ] est donnée par : f IN SIN. df f 1

19 éterination du bruit en tension Le bruit en tension raené en entrée est souvent utilisé pour la odélisation petits sinaux. On exprie sa densité spectrale et la tension équivalente à l aide des relations suivantes : ensité spectrale S VN S IN Tension associée V N I N Niveau de odélisation Modèle SPICE niveau 1 : ne tient pas copte de la faible inversion et de la tepérature Modèle SPICE niveau : Prend en copte la tepérature et les effets des capacités parasites. Modèle SPICE niveau 3 : Prend en copte l effet de odulation de canal court. Modèle SPICE niveau 4 (BSIM) : eployé lorsque la caractérisation des paraètres de la technoloie utilisée est disponible. Modèle SPICE niveau 6 (BSIM 3 V.) : odèle physique (obilité non unifore, réduction de la tension d seuil, ) Modèle SPICE niveau 7 (BSIM 3 V.3) : odèle sub-icronique

20 Calcul des capacités s dans Spice C C db sb CJ VB 1 + PB CJ VSB 1 + PB MJ MJ CJSW.A + VB 1 + PB CJSW.AS+ VSB 1 + PB MJSW MJSW.P.PS A et AS sont les surfaces respectives rain et Source, P et PS les périètres. Ces valeurs doivent être données par l utilisateur en fonction des diensions du circuit sinon ces valeurs sont ises à 0. Pour les estier il faut avoir le «layout» du circuit (ou l extraire), on peut supposer qu un contact étallique est fait sur chaque rain et Source et donc que ces réions doivent s étendre de,75.lin au oins. Ainsi les valeurs iniu peuvent être définies coe étant, en preière approxiation : AAS,75. L in.w et PPS.,75.L in + W Capacités s liées à la rille dans Spice et diensions Leff est toujours d actualité (<L). Les paraètres spice donnent L (LOV) et W et : Leff L L; Weff W W Les capacités de chevaucheent, qui s ajoutent à Cs et Cd, sont donc calculées coe : C s, OV W. CGSO (avec CGSO en F/, représente C OV /W) C d, OV W. CGO (avec CGO en F/, représente C OV /W) e anière siilaire, Cb doit être auentée de : C b, OV L. CGBO (avec CGBO en F/)

21 MOSFET MOEL PARAMETERS Fichier pdf * Level-1 Model for PMOS in odel 5u CMOS Technoloy * (created by Anas Haoui & Olivier Trescases).odel PMOS5P0 PMOS(Level1 VTO-1 GAMMA0.65 PHI L0.6E-06 W0 UO50 LAMBA0.03 TOX85E-9 PB0.7 CJ0.18E-3 + CJSW0.6E-9 MJ0.5 MJSW0.5 CGO0.4E-9 JS1E-6 CGBO0.E-9 + CGSO0.4E-9) Level-1 Model for NMOS in odel 5u CMOS Technoloy * (created by Anas Haoui & Olivier Trescases).odel NMOS5P0 NMOS(Level1 VTO1 GAMMA1.4 PHI0.7 + L0.7E-06 W0 UO750 LAMBA0.01 TOX85E-9 PB0.7 CJ0.4E-3 + CJSW0.8E-9 MJ0.5 MJSW0.5 CGO0.4E-9 JS1E-6 CGBO0.E-9 + CGSO0.4E-9) Level-1 Model for PMOS in odel 0.5u CMOS Technoloy * (created by Anas Haoui & Olivier Trescases).odel PMOS0P5 PMOS(Level1 VTO-0.8 GAMMA0.45 PHI0.8 + L0.09E-06 W0 UO115 LAMBA0. TOX9.5E-9 PB0.9 CJ0.93E-3 + CJSW170E-1 MJ0.5 MJSW0.35 CGO0.35E-9 JS5E-9 CGBO0.38E-9 + CGSO0.35E-9) Level-1 Model for NMOS in odel 0.5u CMOS Technoloy * (created by Anas Haoui & Olivier Trescases).odel NMOS0P5 NMOS(Level1 VTO0.7 GAMMA0.5 PHI0.8 + L0.08E-06 W0 UO460 LAMBA0.001 TOX9.5E-9 PB0.9 CJ0.57E-3 + CJSW10E-1 MJ0.5 MJSW0.4 CGO0.4E-9 JS10E-9 CGBO0.38E-9 + CGSO0.4E-9) Exeples

22 Application 1 : Aplificateur vin R Vdd N i Vss vout Paraètres Technoloie : 0.8 µ Vdd 15V Vss 0V Kn 103,6 µa/v² Kp 34,53 µa/v² Vthn 0.8 V Vthp V K µ n Cox 1) Trouvez le rapport W/L pour avoir : I 1A, pour V GS 3,9V ) Proposez une valeur 3) Pour cette valeur, calculez R pour avoir V out V ds 9V 4) onnez le ain petits sinaux (odèle siplifié) 5) Faire une vérification SPICE Application : Aplificateur polarisé vin R Vdd N i Vss vout Paraètres Technoloie : 0.8 µ Vdd 15V Vss 0V Kn 103,6 µa/v² Kp 34,53 µa/v² Vthn 0.8 V Vthp V K µ n Cox 1) Prendre les résultats de polarisation précédents et proposer résistances de polarisation de la rille pour avoir une alientation possible en petits sinaux par un énérateur Vin de résistance de sortie Rs. ) onner le schéa équivalent avec les capacités (Cd, Cs, Cds) 3) onner la valeur du Gain AC en basse fréquence

23 Application : suite 1) Reprendre le calcul du ain AC en tenant copte du paraètre LAMBA (λ) valant 0,057 ) Reprendre en prenant en copte la résistance de sortie du énérateur AC de 5kΩ 3) Expliquer ce qui se passe si l on tient copte de la capacité Cs et donner les nouvelles valeurs et courbes Application : odèle petits sinaux éteriner pour un transistor NMOS, les paraètres suivant du odèle petits sinaux : - Le ain en courant - L effet de substrat b - La résistance de sortie r ds - La capacité Cs Paraètres U T 6 v Id 100 µa Vbs V W 30 µ L 10 µ γ 0.5 V 1/ λ 0.0 V -1 Φ f 0.3V Kn 16 µa/v² µn 650 c²/v.s

24 Solution Fichier icrowind_apli_res.sch µ nc OX W I (VGS V L ,6.10 Soit :10 onne W/L On prend 15-9 Pour VS 9V, on a R ,645 (3,9 0,8) ' après W 0 µ et L 10 µ le schéa th W L ) équivalent (3,9 0,8) 6kΩ siplifié G -.R 3,87 Solution application (1) On a VGS3,9, V valant 15V, le ratio pour R1(haut) et R (bas) du pont diviseur (R/(R1+R)) est donc 3,9/15, en se fixant que le I dans le pont est inférieur à 10% de Id soit 0,1A, cela donne R3,9/0,139kΩ et R111,1/0,1 111 kω Si on prend LAMBA on introduit en // sur R rds1/(λ.i) 38,91 kω et donc la résistance de chare devient 6//38,915,5 kω, la nouvelle valeur du ain est 3,354 Si le énérateur a une résistance de sortie de 5 kω, on introduit en entrée une atténuation de 0,85 le ain devient donc éal à 3,3 dans le preier cas, et,86 dans le deuxièe cas.

Les transistors à effet de champ.

Les transistors à effet de champ. Chapitre 2 Les transistors à effet de champ. 2.1 Les différentes structures Il existe de nombreux types de transistors utilisant un effet de champ (FET : Field Effect Transistor). Ces composants sont caractérisés

Plus en détail

Les transistors à effet de champ

Les transistors à effet de champ etour au menu! Les transistors à effet de champ 1 tructure A TANITO à JONCTION (JFET) Contrairement aux transistors bipolaires dont le fonctionnement repose sur deux types de porteurs les trous et les

Plus en détail

Cours 9. Régimes du transistor MOS

Cours 9. Régimes du transistor MOS Cours 9. Régimes du transistor MOS Par Dimitri galayko Unité d enseignement Élec-info pour master ACSI à l UPMC Octobre-décembre 005 Dans ce document le transistor MOS est traité comme un composant électronique.

Plus en détail

Mécanique : Cinématique du point. Chapitre 1 : Position. Vitesse. Accélération

Mécanique : Cinématique du point. Chapitre 1 : Position. Vitesse. Accélération 2 e B et C 1 Position. Vitesse. Accélération 1 Mécanique : Cinéatique du point La écanique est le doaine de tout ce qui produit ou transet un ouveent, une force, une déforation : achines, oteurs, véhicules,

Plus en détail

Circuits intégrés micro-ondes

Circuits intégrés micro-ondes Chapitre 7 Circuits intégrés micro-ondes Ce chapitre sert d introduction aux circuits intégrés micro-ondes. On y présentera les éléments de base (résistance, capacitance, inductance), ainsi que les transistors

Plus en détail

Contribution à la conception par la simulation en électronique de puissance : application à l onduleur basse tension

Contribution à la conception par la simulation en électronique de puissance : application à l onduleur basse tension Contribution à la conception par la simulation en électronique de puissance : application à l onduleur basse tension Cyril BUTTAY CEGELY VALEO 30 novembre 2004 Cyril BUTTAY Contribution à la conception

Plus en détail

Convertisseurs statiques d'énergie électrique

Convertisseurs statiques d'énergie électrique Convertisseurs statiques d'énergie électrique I. Pourquoi des convertisseurs d'énergie électrique? L'énergie électrique utilisée dans l'industrie et chez les particuliers provient principalement du réseau

Plus en détail

Arrondissage des résultats de mesure. Nombre de chiffres significatifs

Arrondissage des résultats de mesure. Nombre de chiffres significatifs BUREAU NATIONAL DE MÉTROLOGIE COMMISSARIAT À L'ÉNERGIE ATOMIQUE LABORATOIRE NATIONAL HENRI BECQUEREL Note technique LNHB/04-13 Arrondissage des résultats de esure Nobre de chiffres significatifs M.M. Bé,

Plus en détail

OBJECTIFS. I. A quoi sert un oscilloscope?

OBJECTIFS. I. A quoi sert un oscilloscope? OBJECTIFS Oscilloscope et générateur basse fréquence (G.B.F.) Siuler le fonctionneent et les réglages d'un oscilloscope Utiliser l oscilloscope pour esurer des tensions continues et alternatives Utiliser

Plus en détail

MEMOIRES MAGNETIQUES A DISQUES RIGIDES

MEMOIRES MAGNETIQUES A DISQUES RIGIDES MEMOIRES MAGNETIQUES A DISQUES RIGIDES PARTIE ELECTRONIQUE Le schéma complet de FP5 est donnée en annexe. Les questions porterons sur la fonction FP5 dont le schéma fonctionnel de degré 2 est présenté

Plus en détail

Tolérance aux fautes-2 Serveurs à haute disponibilité

Tolérance aux fautes-2 Serveurs à haute disponibilité École Doctorale de Grenoble Master 2 Recherche Systèes et Logiciel Disponibilité des s Tolérance aux fautes-2 Serveurs à haute disponibilité Sacha Krakowiak Université Joseph Fourier Projet Sardes (INRIA

Plus en détail

Capacité Métal-Isolant-Semiconducteur (MIS)

Capacité Métal-Isolant-Semiconducteur (MIS) apacité Métal-solant-Semiconducteur (MS) 1-onstitution Une structure Métal-solant-Semiconducteur (MS) est constituée d'un empilement de trois couches : un substrat semiconducteur sur lequel on a déposé

Plus en détail

Voyez la réponse à cette question dans ce chapitre. www.alternativesjournal.ca/people-and-profiles/web-exclusive-ela-alumni-make-splash

Voyez la réponse à cette question dans ce chapitre. www.alternativesjournal.ca/people-and-profiles/web-exclusive-ela-alumni-make-splash Une personne de 60 kg est à gauche d un canoë de 5 de long et ayant une asse de 90 kg. Il se déplace ensuite pour aller à droite du canoë. Dans les deux cas, il est à 60 c de l extréité du canoë. De cobien

Plus en détail

2.1 Comment fonctionne un site?

2.1 Comment fonctionne un site? Coent fonctionne un site? Dans ce chapitre, nous allons étudier la liste des logiciels nécessaires à la création d un site ainsi que les principes de base indispensables à son bon fonctionneent. 2.1 Coent

Plus en détail

Institut Supérieur des Etudes Technologiques de Nabeul

Institut Supérieur des Etudes Technologiques de Nabeul Ministère de l enseignement supérieur, de la recherche scientifique et de la technologie Institut Supérieur des tudes Technologiques de Nabeul Département : Génie lectrique Support de cours : LCTRONIQU

Plus en détail

EP 2 339 758 A1 (19) (11) EP 2 339 758 A1 (12) DEMANDE DE BREVET EUROPEEN. (43) Date de publication: 29.06.2011 Bulletin 2011/26

EP 2 339 758 A1 (19) (11) EP 2 339 758 A1 (12) DEMANDE DE BREVET EUROPEEN. (43) Date de publication: 29.06.2011 Bulletin 2011/26 (19) (12) DEMANDE DE BREVET EUROPEEN (11) EP 2 339 758 A1 (43) Date de publication: 29.06.2011 Bulletin 2011/26 (21) Numéro de dépôt: 09179459.4 (51) Int Cl.: H04B 1/69 (2011.01) H03K 5/08 (2006.01) H03K

Plus en détail

Filtres passe-bas. On utilise les filtres passe-bas pour réduire l amplitude des composantes de fréquences supérieures à la celle de la coupure.

Filtres passe-bas. On utilise les filtres passe-bas pour réduire l amplitude des composantes de fréquences supérieures à la celle de la coupure. Filtres passe-bas Ce court document expose les principes des filtres passe-bas, leurs caractéristiques en fréquence et leurs principales topologies. Les éléments de contenu sont : Définition du filtre

Plus en détail

Chapitre 4 : Le transistor Bipolaire

Chapitre 4 : Le transistor Bipolaire LEEA 3 ème A, C. TELLIER, 28.08.04 1 Chapitre 4 : Le transistor Bipolaire 1. Structure et description du fonctionnement 1.1. Les transistors bipolaires 1.2 Le transistor NPN Structure intégrée d'un transistor

Plus en détail

THESE. Applications des algorithmes d'auto-organisation à la classification et à la prévision

THESE. Applications des algorithmes d'auto-organisation à la classification et à la prévision UNIVERSITE PARIS I PANTHEON SORBONNE U.F.R. DE MATHEMATIQUES et INFORMATIQUE Année 999 THESE Pour obtenir le rade de DOCTEUR DE L'UNIVERSITE PARIS I Discipline : Mathéatiques Présentée et soutenue publiqueent

Plus en détail

Thèse. Présentée devant L Institut National des Sciences Appliquées de Lyon

Thèse. Présentée devant L Institut National des Sciences Appliquées de Lyon N d ordre : 2005-ISAL-00112 Année 2005 Thèse Conception et fabrication de nouvelles architectures CMOS et étude du transport dans les canaux de conduction ultra minces obtenus avec la technologie SON Présentée

Plus en détail

Introduction : Les modes de fonctionnement du transistor bipolaire. Dans tous les cas, le transistor bipolaire est commandé par le courant I B.

Introduction : Les modes de fonctionnement du transistor bipolaire. Dans tous les cas, le transistor bipolaire est commandé par le courant I B. Introduction : Les modes de fonctionnement du transistor bipolaire. Dans tous les cas, le transistor bipolaire est commandé par le courant. - Le régime linéaire. Le courant collecteur est proportionnel

Plus en détail

Systèmes de transmission

Systèmes de transmission Systèmes de transmission Conception d une transmission série FABRE Maxime 2012 Introduction La transmission de données désigne le transport de quelque sorte d'information que ce soit, d'un endroit à un

Plus en détail

Eléments constitutifs et synthèse des convertisseurs statiques. Convertisseur statique CVS. K à séquences convenables. Source d'entrée S1

Eléments constitutifs et synthèse des convertisseurs statiques. Convertisseur statique CVS. K à séquences convenables. Source d'entrée S1 1 Introduction Un convertisseur statique est un montage utilisant des interrupteurs à semiconducteurs permettant par une commande convenable de ces derniers de régler un transfert d énergie entre une source

Plus en détail

LES CARACTERISTIQUES DES SUPPORTS DE TRANSMISSION

LES CARACTERISTIQUES DES SUPPORTS DE TRANSMISSION LES CARACTERISTIQUES DES SUPPORTS DE TRANSMISSION LES CARACTERISTIQUES DES SUPPORTS DE TRANSMISSION ) Caractéristiques techniques des supports. L infrastructure d un réseau, la qualité de service offerte,

Plus en détail

innovation / construction / territoire Crèche modulaire-bois La Rose des Vents GAILLAC (81) COMMUNAUTÉ DE COMMUNES

innovation / construction / territoire Crèche modulaire-bois La Rose des Vents GAILLAC (81) COMMUNAUTÉ DE COMMUNES innovation / construction / territoire Crèche odulaire-bois La Rose des Vents GAILLAC (81) COMMUNAUTÉ DE COMMUNES Présentation de la crèche éco-responsable et odulaire de la Rose des vents à Gaillac La

Plus en détail

Cours d électricité. Circuits électriques en courant constant. Mathieu Bardoux. 1 re année

Cours d électricité. Circuits électriques en courant constant. Mathieu Bardoux. 1 re année Cours d électricité Circuits électriques en courant constant Mathieu Bardoux mathieu.bardoux@univ-littoral.fr IUT Saint-Omer / Dunkerque Département Génie Thermique et Énergie 1 re année Objectifs du chapitre

Plus en détail

Les bases de données. Historique

Les bases de données. Historique 1 Les bases de données Aujourd hui indispensables dans tous les systèes de gestion de l inforation, les bases de données sont une évolution logique de l augentation de la deande de stockage de données.

Plus en détail

EMETTEUR ULB. Architectures & circuits. Ecole ULB GDRO ESISAR - Valence 23-27/10/2006. David MARCHALAND STMicroelectronics 26/10/2006

EMETTEUR ULB. Architectures & circuits. Ecole ULB GDRO ESISAR - Valence 23-27/10/2006. David MARCHALAND STMicroelectronics 26/10/2006 EMETTEUR ULB Architectures & circuits David MARCHALAND STMicroelectronics 26/10/2006 Ecole ULB GDRO ESISAR - Valence 23-27/10/2006 Introduction Emergence des applications de type LR-WPAN : Dispositif communicant

Plus en détail

Corps de palier. Aperçu des produits Corps de palier... 1322 Caractéristiques Matières du corps de palier et surfaces extérieures...

Corps de palier. Aperçu des produits Corps de palier... 1322 Caractéristiques Matières du corps de palier et surfaces extérieures... Corps Corps Pae Aperçu des produits Corps... 3 Caractéristiques Matières du corps et surfaces extérieures... 34 Paliers fixes et paliers libres... 34... 34 Corps à seelle onoblocs et en deux parties Corps

Plus en détail

A. N(p) B + C p. + D p2

A. N(p) B + C p. + D p2 Polytech Nice ELEC3 T.P. d'electronique TP N 7 S ACTIFS DU SECOND ORDRE 1 - INTRODUCTION Un quadripôle est dit avoir une fonction de transfert en tension, du second ordre, lorsque le rapport tension de

Plus en détail

Le transistor bipolaire

Le transistor bipolaire IUT Louis Pasteur Mesures Physiques Electronique Analogique 2ème semestre 3ème partie Damien JACOB 08-09 Le transistor bipolaire I. Description et symboles Effet transistor : effet physique découvert en

Plus en détail

La polarisation des transistors

La polarisation des transistors La polarisation des transistors Droite de charge en continu, en courant continu, statique ou en régime statique (voir : le transistor) On peut tracer la droite de charge sur les caractéristiques de collecteur

Plus en détail

Utiliser Internet Explorer

Utiliser Internet Explorer 5 Utiliser Internet Explorer 5 Utiliser Internet Explorer Internet Explorer est le plus utilisé et le plus répandu des navigateurs web. En effet, Internet Explorer, couraent appelé IE, est le navigateur

Plus en détail

Bruit électrique basse fréquence comme outil de diagnostic non destructif pour des technologies MOS submicroniques et nanométriques

Bruit électrique basse fréquence comme outil de diagnostic non destructif pour des technologies MOS submicroniques et nanométriques Université de Caen Basse-Normandie Mémoire présenté et soutenu le : 10/12/2013 par Bogdan - Mihail CRETU pour obtenir l Habilitation à Diriger des Recherches Bruit électrique basse fréquence comme outil

Plus en détail

SNC du Chemin de Paris Création d un bâtiment d activité industrielle et de stockage ZAC du Chemin de Paris 60440 Nanteuil le Haudouin

SNC du Chemin de Paris Création d un bâtiment d activité industrielle et de stockage ZAC du Chemin de Paris 60440 Nanteuil le Haudouin DOSSIER DE DEMANDE D ENREGISTREMENT D UNE INSTALLATION CLASSEE POUR LA PROTECTION DE L ENVIRONNEMENT Code de l Environneent Livre V Titre I SNC du Chein de Paris Création d un bâtient d activité industrielle

Plus en détail

Scarlett Plug-in Suite

Scarlett Plug-in Suite Scarlett Plug-in Suite Mode d emploi FA0000-01 1 Contents Installation... 3 Activation du Logiciel... 3 Modules Scarlett Plug-in Suite... 5 Compressor... 5 EQ... 6 Gate... 7 Reverb... 9 2 Installation

Plus en détail

Échafaudage de façade UNI 70/100

Échafaudage de façade UNI 70/100 Stark gerüstet. Échafaudage de façade 70/100 Français valable à partir du 15.04.2013 Table des atières Montage du systèe / Avantages du systèe 2-3 Châssis 4-5 Planchers 6 Escaliers «intérieurs» 7 Escaliers

Plus en détail

Quartz et Oscillateurs

Quartz et Oscillateurs Quartz et Oscillateurs Catalogue résumé Quartz HC 49U - Caractéristiques standard (autres spécifications sur demande : gamme de fréquence, tolérance, etc.) Fréquences : 1 à 200 MHz Tolérance à 25 C : 50

Plus en détail

ÉTUDE BDC LES CINQ FACTEURS CLÉS ET LES CINQ PIÈGES À ÉVITER POUR RÉUSSIR EN AFFAIRES

ÉTUDE BDC LES CINQ FACTEURS CLÉS ET LES CINQ PIÈGES À ÉVITER POUR RÉUSSIR EN AFFAIRES ÉTUDE BDC LES CINQ FACTEURS CLÉS ET LES CINQ PIÈGES À ÉVITER POUR RÉUSSIR EN AFFAIRES Seaine de la PME BDC 2014 Résué --------------------------------------------------------------------------------------

Plus en détail

TP1 Initiation à la conception de circuits intégrés analogiques.

TP1 Initiation à la conception de circuits intégrés analogiques. CAO TP1 Initiation Cadence 2015 2016 IC 615 / AMS 4.1 1 TP1 Initiation à la conception de circuits intégrés analogiques. L objectif de ce document est de présenter de façon succincte les principales fonctionnalités

Plus en détail

TD 11. Les trois montages fondamentaux E.C, B.C, C.C ; comparaisons et propriétés. Association d étages. *** :exercice traité en classe.

TD 11. Les trois montages fondamentaux E.C, B.C, C.C ; comparaisons et propriétés. Association d étages. *** :exercice traité en classe. TD 11 Les trois montages fondamentaux.,.,. ; comparaisons et propriétés. Association d étages. *** :exercice traité en classe ***exercice 11.1 On considère le montage ci-dessous : V = 10 V R 1 R s v e

Plus en détail

Université Mohammed Khidher Biskra A.U.: 2014/2015

Université Mohammed Khidher Biskra A.U.: 2014/2015 Uniersité Mohammed Khidher Biskra A.U.: 204/205 Faculté des sciences et de la technologie nseignant: Bekhouche Khaled Matière: lectronique Fondamentale hapitre 4 : Le Transistor Bipolaire à Jonction 4..

Plus en détail

DOSSIER SUR LE SECTEUR DES NOMS DE DOMAINE VOLUME 11 - NUMÉRO 4 - JANVIER 2015

DOSSIER SUR LE SECTEUR DES NOMS DE DOMAINE VOLUME 11 - NUMÉRO 4 - JANVIER 2015 DOSSIER SUR LE SECTEUR DES NOMS DE DOMAINE VOLUME 11 - NUMÉRO - JANVIER 2015 RAPPORT DE VERISIGN SUR LES NOMS DE DOMAINE LEADER MONDIAL DU SECTEUR DES NOMS DE DOMAINE ET DE LA SÉCURITÉ D'INTERNET, VERISIGN

Plus en détail

PHANTOM 3. Guide de démarrage rapide PROFESSIONAL V1.0

PHANTOM 3. Guide de démarrage rapide PROFESSIONAL V1.0 PHANTOM 3 PROFESSIONAL Guide de déarrage rapide V.0 Phanto 3 Professional Découvrez votre Phanto 3 Professional. La caéra du Phanto 3 Professional vous peret d'enregistrer des vidéos en 4K et de prendre

Plus en détail

Gamme et conseils de mise en œuvre

Gamme et conseils de mise en œuvre ENVELOPPE DU BÂTIMENT Gae et conseils de ise en œuvre BATIROC COMPLÉMENT DE GAMME, nous consulter PROFILS DE BARDAGE BATIBAC 4-25BV BATIBAC 6-25BH BATIBAC 5-35BH 25 267,5 FACE B (extérieur) 25 80,8 FACE

Plus en détail

L étalonnage par traceur Compton, une nouvelle méthode de mesure primaire d activité en scintillation liquide

L étalonnage par traceur Compton, une nouvelle méthode de mesure primaire d activité en scintillation liquide PH. CASSEE L étalonnage par traceur Copton, une nouvelle éthode de esure priaire d activité en scintillation liquide he Copton source efficiency tracing ethod, a new standardization ethod in liquid scintillation

Plus en détail

Mode d emploi ALTO MONITOR PROCESSEUR D ÉCOUTE. www.altoproaudio.com Version 1.0 Juillet 2003 Français

Mode d emploi ALTO MONITOR PROCESSEUR D ÉCOUTE. www.altoproaudio.com Version 1.0 Juillet 2003 Français Mode d emploi ALTO MONITOR PROCESSEUR D ÉCOUTE www.altoproaudio.com Version 1.0 Juillet 2003 Français SOMMAIRE 1. INTRODUCTION................................................................... 4 2. FONCTIONNALITÉS................................................................

Plus en détail

Chapitre 2 : Systèmes radio mobiles et concepts cellulaires

Chapitre 2 : Systèmes radio mobiles et concepts cellulaires Chapitre 2 : Systèmes radio mobiles et concepts cellulaires Systèmes cellulaires Réseaux cellulaires analogiques de 1ère génération : AMPS (USA), NMT(Scandinavie), TACS (RU)... Réseaux numériques de 2ème

Plus en détail

Autour des nombres et des polynômes de Bernoulli

Autour des nombres et des polynômes de Bernoulli Autour des nobres et des polynôes de Bernoulli Gaëtan Bisson d après un cours de Don Zagier Résué En athéatiques, les nobres de Bernoulli ont d abord été étudiés en cherchant à calculer les soes du type

Plus en détail

ELP 304 : Électronique Numérique. Cours 1 Introduction

ELP 304 : Électronique Numérique. Cours 1 Introduction ELP 304 : Électronique Numérique Cours 1 Introduction Catherine Douillard Dépt Électronique Les systèmes numériques : généralités (I) En électronique numérique, le codage des informations utilise deux

Plus en détail

TD1 Signaux, énergie et puissance, signaux aléatoires

TD1 Signaux, énergie et puissance, signaux aléatoires TD1 Signaux, énergie et puissance, signaux aléatoires I ) Ecrire l'expression analytique des signaux représentés sur les figures suivantes à l'aide de signaux particuliers. Dans le cas du signal y(t) trouver

Plus en détail

Etude des convertisseurs statiques continu-continu à résonance, modélisation dynamique

Etude des convertisseurs statiques continu-continu à résonance, modélisation dynamique Etude des convertisseurs statiques continucontinu à résonance, modélisation dynamique J.P. Ferrieux, J. Perard, E. Olivier To cite this version: J.P. Ferrieux, J. Perard, E. Olivier. Etude des convertisseurs

Plus en détail

Guitar FX Plug-in Suite

Guitar FX Plug-in Suite Guitar FX Plug-in Suite Mode d emploi FA0000-01 1 Contents Installation... 3 Activation du Logiciel... 3 Modules Guitar FX Plug-in Suite... 5 Amps Brit Rock... 5 Tweed Bass... 5 Tweed Twin... 5 US Modern

Plus en détail

Communications numériques

Communications numériques Communications numériques 1. Modulation numérique (a) message numérique/signal numérique (b) transmission binaire/m-aire en bande de base (c) modulation sur fréquence porteuse (d) paramètres, limite fondamentale

Plus en détail

L indice des prix à la consommation

L indice des prix à la consommation L indice des prix à la consoation Base 2004 Direction générale Statistique et Inforation éconoique 2007 L indice des prix à la consoation Base 2004 = 100 La Direction générale Statistique et Inforation

Plus en détail

1. PRESENTATION DU PROJET

1. PRESENTATION DU PROJET Bac STI2D Formation des enseignants Jean-François LIEBAUT Denis PENARD SIN 63 : Prototypage d un traitement de l information analogique et numérique (PSoC) 1. PRESENTATION DU PROJET Les systèmes d éclairage

Plus en détail

Études et Réalisation Génie Électrique

Études et Réalisation Génie Électrique Université François-Rabelais de Tours Institut Universitaire de Technologie de Tours Département Génie Électrique et Informatique Industrielle Études et Réalisation Génie Électrique Chargeur de batterie

Plus en détail

Équations générales des milieux continus

Équations générales des milieux continus Équations générales des ilieux continus Jean Garrigues 1 ai 212 ii Avant-propos L objectif de ce cours est d établir les équations générales régissant tous les ilieux continus, qu ils soient solides ou

Plus en détail

PLAQUES DE PLÂTRE CLOISONS - DOUBLAGES

PLAQUES DE PLÂTRE CLOISONS - DOUBLAGES CONSEILS ILLUSTRÉS D'ISOLAVA PLAQUES DE PLÂTRE CLOISONS - DOUBLAGES GUIDE DE MISE EN OEUVRE VITE et BIEN FAIT SOMMAIRE 1. Cloison de séparation sur ossature p 3 étallique -Matériaux nécessaires par 2 de

Plus en détail

ELECTRONIQUE ANALOGIQUE

ELECTRONIQUE ANALOGIQUE LCTRONIQU ANALOGIQU CALCUL T XPRIMNTATION D UN AMPLIFICATUR A TRANSISTOR BIPOLAIR Joël RDOUTY Mise à jour décembre 2010 AMPLIFICATUR BASS FRQUNC A TRANSISTOR BIPOLAIR L'objectif de ce T est de montrer

Plus en détail

ELEC2753 Electrotechnique examen du 11/06/2012

ELEC2753 Electrotechnique examen du 11/06/2012 ELEC2753 Electrotechnique examen du 11/06/2012 Pour faciliter la correction et la surveillance, merci de répondre aux 3 questions sur des feuilles différentes et d'écrire immédiatement votre nom sur toutes

Plus en détail

e-commerce+ Passez au e-commerce pour simplifier vos process de vente! RENCONTREZ-NOUS Salon E-commerce 23-25 septembre - Paris

e-commerce+ Passez au e-commerce pour simplifier vos process de vente! RENCONTREZ-NOUS Salon E-commerce 23-25 septembre - Paris e-coerce+ agazine d inforation d Octave N 11 Juin 2014 TO Passez au e-coerce pour siplifier vos process de vente! RENCONTREZ-NOUS Salon E-coerce 23-25 septebre - Paris Salon #vad.conext 21-23 octobre -

Plus en détail

CHAPITRE V. Théorie de l échantillonnage et de la quantification

CHAPITRE V. Théorie de l échantillonnage et de la quantification CHAPITRE V Théorie de l échantillonnage et de la quantification Olivier FRANÇAIS, SOMMAIRE I INTRODUCTION... 3 II THÉORIE DE L ÉCHANTILLONNAGE... 3 II. ACQUISITION DES SIGNAUX... 3 II. MODÉLISATION DE

Plus en détail

Le transistor bipolaire. Page N 6 Tranlin

Le transistor bipolaire. Page N 6 Tranlin V. Etude d'un montage à 1 transtor. (montage charge répart ac découplage d'émetteur Pour toute la suite, on utilera comme exemple le schéma suivant appelé montage charge répart ac découplage d'émetteur

Plus en détail

Mesures d antennes en TNT

Mesures d antennes en TNT Mesures d antennes en TNT Ce TP s intéresse aux techniques liées à l installation d un équipement de réception de télévision numérique terrestre. Pour les aspects théoriques, on pourra utilement se référer

Plus en détail

Transmission d informations sur le réseau électrique

Transmission d informations sur le réseau électrique Transmission d informations sur le réseau électrique Introduction Remarques Toutes les questions en italique devront être préparées par écrit avant la séance du TP. Les préparations seront ramassées en

Plus en détail

Chapitre 2 Les ondes progressives périodiques

Chapitre 2 Les ondes progressives périodiques DERNIÈRE IMPRESSION LE er août 203 à 7:04 Chapitre 2 Les ondes progressives périodiques Table des matières Onde périodique 2 2 Les ondes sinusoïdales 3 3 Les ondes acoustiques 4 3. Les sons audibles.............................

Plus en détail

Circuits RL et RC. Chapitre 5. 5.1 Inductance

Circuits RL et RC. Chapitre 5. 5.1 Inductance Chapitre 5 Circuits RL et RC Ce chapitre présente les deux autres éléments linéaires des circuits électriques : l inductance et la capacitance. On verra le comportement de ces deux éléments, et ensuite

Plus en détail

LES MÉMOIRES FLASH : ENTRE MÉMOIRE VIVE ET MÉMOIRE DE STOCKAGE. Etienne Nowak 12 mars 2015. Etienne Nowak - 12 mars 2015 - GIS-SPADON

LES MÉMOIRES FLASH : ENTRE MÉMOIRE VIVE ET MÉMOIRE DE STOCKAGE. Etienne Nowak 12 mars 2015. Etienne Nowak - 12 mars 2015 - GIS-SPADON LES MÉMOIRES FLASH : ENTRE MÉMOIRE VIVE ET MÉMOIRE DE STOCKAGE Etienne Nowak 12 mars 2015 PRÉSENTATION ETIENNE NOWAK

Plus en détail

I ntroduction. Coffrets pour la régulation de la température et de l hygrométrie. Caractéristiques et avantages

I ntroduction. Coffrets pour la régulation de la température et de l hygrométrie. Caractéristiques et avantages Catalogue Réfrigération Section Notice technique JC-TH230-1xM Edition 060212 Coffrets pour la régulation de la température et de l hygrométrie I ntroduction Conçu pour faciliter le travail de l installateur,

Plus en détail

LES THYRISTORS. - SCRs ( Silicon Controlled Rectifier ) - Triacs. - Diacs. Excellence in Power Processing and Protection P.

LES THYRISTORS. - SCRs ( Silicon Controlled Rectifier ) - Triacs. - Diacs. Excellence in Power Processing and Protection P. LES THYRISTORS - SCRs ( Silicon Controlled Rectifier ) - Triacs - Diacs SCR Structure - Schéma équivalent STRUCTURE 4 couches : K G A A A N P P N N N P A G P P N G K K modèle à 2 transistors G K Symbole

Plus en détail

TP Modulation Démodulation BPSK

TP Modulation Démodulation BPSK I- INTRODUCTION : TP Modulation Démodulation BPSK La modulation BPSK est une modulation de phase (Phase Shift Keying = saut discret de phase) par signal numérique binaire (Binary). La phase d une porteuse

Plus en détail

PROPRIETES ELASTIQUES DU PLI UNIDIRECTIONNEL APPROCHE MICROMECANIQUE

PROPRIETES ELASTIQUES DU PLI UNIDIRECTIONNEL APPROCHE MICROMECANIQUE Cours Matériau Coposites Fiche 2 PROPRITS LASTIQUS DU PLI UNIDIRCTIONNL APPROCH MICROMCANIQU A. Chateauinois RSUM : Cette iche présente des approches sipliiées perettant d'éaluer le odule longitudinal

Plus en détail

Conception. de systèmes électroniques. analogiques

Conception. de systèmes électroniques. analogiques Christian JUTTEN Conception de systèmes électroniques analogiques Université Joseph Fourier - Polytech Grenoble Cours de deuxième année du département 3i Janvier 2007 Table des matières Modèle mathématique

Plus en détail

Interrupteurs Différentiels 2P jusqu à 40 A

Interrupteurs Différentiels 2P jusqu à 40 A 87045 LIMOGES Cedex Téléphone 05 55 0 87 87 Télécopie 05 55 0 88 88 Interrupteurs Différentiels DX³-ID 411 10,, 11 11,, 13, 14, 1, 17, 23, 31 411 32 32,, 34 34,, 35 35,, 37 37,, 38 38,, 44 SOMMAIRE PAGES

Plus en détail

Jouve, 18, rue Saint-Denis, 75001 PARIS

Jouve, 18, rue Saint-Denis, 75001 PARIS 19 à Europâisches Patentamt European Patent Office Office européen des brevets Numéro de publication : 0 645 740 A1 12 DEMANDE DE BREVET EUROPEEN @ Numéro de dépôt : 94402079.1 @ Int. ci.6: G07B 17/04,

Plus en détail

TABLE DES MATIÈRES 1. DÉMARRER ISIS 2 2. SAISIE D UN SCHÉMA 3 & ' " ( ) '*+ ", ##) # " -. /0 " 1 2 " 3. SIMULATION 7 " - 4.

TABLE DES MATIÈRES 1. DÉMARRER ISIS 2 2. SAISIE D UN SCHÉMA 3 & '  ( ) '*+ , ##) #  -. /0  1 2  3. SIMULATION 7  - 4. TABLE DES MATIÈRES 1. DÉMARRER ISIS 2 2. SAISIE D UN SCHÉMA 3! " #$ % & ' " ( ) '*+ ", ##) # " -. /0 " 1 2 " 3' & 3. SIMULATION 7 0 ( 0, - 0 - " - & 1 4. LA SOURIS 11 5. LES RACCOURCIS CLAVIER 11 STI Electronique

Plus en détail

SOMMAIRE. B5.1 Première approche

SOMMAIRE. B5.1 Première approche APPROCHE THEORIQE LES COMPOSANTS ELECTRONIQES B5 LES IOES SOMMAIRE B5.1 Première approche B5.2 e la jonction PN à la diode B5.3 Caractéristique d'une diode B5.4 Mécanisme de conduction d'une diode B5.5

Plus en détail

W i r e l e s s B o d y S c a l e - i B F 5 T h a n k y o u f o r p u r c h a s i n g t h e W i r e l e s s B o d y S c a l e i B F 5. B e f o r e u s i n g t h i s u n i t f o r t h e f i r s t t i m

Plus en détail

Electron S.R.L. - MERLINO - MILAN ITALIE Tel (++ 39 02) 90659200 Fax 90659180 Web www.electron.it, e-mail electron@electron.it

Electron S.R.L. - MERLINO - MILAN ITALIE Tel (++ 39 02) 90659200 Fax 90659180 Web www.electron.it, e-mail electron@electron.it Electron S.R.L. Design Production & Trading of Educational Equipment B3510--II APPLIICATIIONS DE TRANSDUCTEURS A ULTRASONS MANUEL D IINSTRUCTIIONS POUR L ETUDIIANT Electron S.R.L. - MERLINO - MILAN ITALIE

Plus en détail

Analyse de la bande passante

Analyse de la bande passante Analyse de la bande passante 1 Objectif... 1 2 Rappels techniques... 2 2.1 Définition de la bande passante... 2 2.2 Flux ascendants et descandants... 2 2.3 Architecture... 2 2.4 Bande passante et volumétrie...

Plus en détail

Le Centre de Tri. Projet d espace de coworking à Bègles 21 janvier 2011

Le Centre de Tri. Projet d espace de coworking à Bègles 21 janvier 2011 Le Centre de Tri Projet d espace de coworking à Bègles 21 janvier 2011 Contexte 2 Objectifs 4 Projet 6 Lieu 8 Équipe projet 11 S o a i r Équipe d aniation Prograation Modèle éconoique Budget prévisionnel

Plus en détail

UE 503 L3 MIAGE. Initiation Réseau et Programmation Web La couche physique. A. Belaïd

UE 503 L3 MIAGE. Initiation Réseau et Programmation Web La couche physique. A. Belaïd UE 503 L3 MIAGE Initiation Réseau et Programmation Web La couche physique A. Belaïd abelaid@loria.fr http://www.loria.fr/~abelaid/ Année Universitaire 2011/2012 2 Le Modèle OSI La couche physique ou le

Plus en détail

Recherche De Coalescences Binaires Étalonnage Du Détecteur

Recherche De Coalescences Binaires Étalonnage Du Détecteur Recherche De Coalescences Binaires Étalonnage Du Détecteur Fabrice Beauville Journées Jeunes Chercheurs 18/12/2003 Les Coalescences Binaires & VIRGO Système binaire d objets compacts (étoiles à neutrons,

Plus en détail

Contribution des faisceaux d ions à l élaboration de dispositifs pour l électronique souple

Contribution des faisceaux d ions à l élaboration de dispositifs pour l électronique souple Comité National Français de Radioélectricité Scientifique Section française de l Union Radio Scientifique Internationale Siège social : Académie des Sciences, Quai de Conti Paris Journées scientifiques

Plus en détail

TELEVISION NUMERIQUE

TELEVISION NUMERIQUE REPUBLIQUE DU CAMEROUN Paix - Travail Patrie --------------------- UNIVERSITE DE YAOUNDE I ---------------------- ECOLE NATIONALE SUPERIEURE POLYTECHNIQUE ---------------------- REPUBLIC OF CAMEROUN Peace

Plus en détail

CARACTERISTIQUE D UNE DIODE ET POINT DE FONCTIONNEMENT

CARACTERISTIQUE D UNE DIODE ET POINT DE FONCTIONNEMENT TP CIRCUITS ELECTRIQUES R.DUPERRAY Lycée F.BUISSON PTSI CARACTERISTIQUE D UNE DIODE ET POINT DE FONCTIONNEMENT OBJECTIFS Savoir utiliser le multimètre pour mesurer des grandeurs électriques Obtenir expérimentalement

Plus en détail

«Des places de jeux pour tous» Formulaire de demande

«Des places de jeux pour tous» Formulaire de demande «Des places de jeux pour tous» Forulaire de deande Ce questionnaire infore quant à la place de jeu en projet et ne constitue pas une grille d évaluation. Les renseigneents doivent donner une ipression

Plus en détail

NPIH800 GENERATION & RESEAUX. PROTECTION de COURANT TERRE

NPIH800 GENERATION & RESEAUX. PROTECTION de COURANT TERRE GENERATION & RESEAUX PROTECTION de COURANT TERRE NPIH800 assure la protection à maximum de courant terre des réseaux électriques de moyenne et haute tension. Ce relais multifonction surveille les défauts

Plus en détail

THESE DOCTEUR. Génie Electrique. Maxime MOREAU

THESE DOCTEUR. Génie Electrique. Maxime MOREAU N d ordre : 117 ECOLE CENTRALE DE LILLE THESE présentée en vue d obtenir le grade de DOCTEUR en Génie Electrique par Maxime MOREAU DOCTORAT DELIVRE PAR L ECOLE CENTRALE DE LILLE Modélisation haute fréquence

Plus en détail

Pour tout renseignement complémentaire, veuillez contacter le service technique au 01 40 13 37 77. HORAIRES MONTAGE-DEMONTAGE

Pour tout renseignement complémentaire, veuillez contacter le service technique au 01 40 13 37 77. HORAIRES MONTAGE-DEMONTAGE Salon de l iobilier d entreprise règleent de décoration VALIDATION DES PROJETS Vos projets d aénageent de stand devront être validés dans le cadre du respect des règles d architecture du salon et du respect

Plus en détail

CHAPITRE VIII : Les circuits avec résistances ohmiques

CHAPITRE VIII : Les circuits avec résistances ohmiques CHAPITRE VIII : Les circuits avec résistances ohmiques VIII. 1 Ce chapitre porte sur les courants et les différences de potentiel dans les circuits. VIII.1 : Les résistances en série et en parallèle On

Plus en détail

Fonctions de la couche physique

Fonctions de la couche physique La Couche physique 01010110 01010110 Couche physique Signal Médium Alain AUBERT alain.aubert@telecom-st-etienne.r 0 Fonctions de la couche physique 1 1 Services assurés par la couche physique Transmettre

Plus en détail

Donner les limites de validité de la relation obtenue.

Donner les limites de validité de la relation obtenue. olutions! ours! - Multiplicateur 0 e s alculer en fonction de. Donner les limites de validité de la relation obtenue. Quelle est la valeur supérieure de? Quel est le rôle de 0? - Multiplicateur e 0 s alculer

Plus en détail

TeamConnect. SL TeamConnect CU1, Unité centrale SL TeamConnect CB1, Combox CARACTÉRISTIQUES

TeamConnect. SL TeamConnect CU1, Unité centrale SL TeamConnect CB1, Combox CARACTÉRISTIQUES TeamConnect SL TeamConnect CU1, Unité centrale SL TeamConnect CB1, Combox CARACTÉRISTIQUES 8 Connectivité par ligne téléphonique terrestre et PC/Voix sur IP (VoiP) pour téléconférence via téléphone et

Plus en détail

TP: Représentation des signaux binaires. 1 Simulation d un message binaire - Codage en ligne

TP: Représentation des signaux binaires. 1 Simulation d un message binaire - Codage en ligne Objectifs : Ce TP est relatif aux différentes méthodes de codage d une information binaire, et à la transmission en bande de base de cette information. Les grandes lignes de ce TP sont l étude des méthodes

Plus en détail

Transmission de données. A) Principaux éléments intervenant dans la transmission

Transmission de données. A) Principaux éléments intervenant dans la transmission Page 1 / 7 A) Principaux éléments intervenant dans la transmission A.1 Equipement voisins Ordinateur ou terminal Ordinateur ou terminal Canal de transmission ETTD ETTD ETTD : Equipement Terminal de Traitement

Plus en détail

J TB/TW Limiteur de température, contrôleur de température avec afficheur LCD, montage sur rail oméga 35 mm

J TB/TW Limiteur de température, contrôleur de température avec afficheur LCD, montage sur rail oméga 35 mm JUMO GmbH & Co. KG Adresse de livraison : Mackenrodtstraße 14, 36039 Fulda, Allemagne Adresse postale : 36035 Fulda, Allemagne Téléphone : +49 661 6003-0 Télécopieur : +49 661 6003-607 E-Mail : mail@jumo.net

Plus en détail

Chaine de transmission

Chaine de transmission Chaine de transmission Chaine de transmission 1. analogiques à l origine 2. convertis en signaux binaires Échantillonnage + quantification + codage 3. brassage des signaux binaires Multiplexage 4. séparation

Plus en détail