PARCOURS DE FORMATION SIN FPGA. Formation des enseignants. Spécialité SIN du baccalauréat STI2D

Dimension: px
Commencer à balayer dès la page:

Download "PARCOURS DE FORMATION SIN FPGA. Formation des enseignants. Spécialité SIN du baccalauréat STI2D"

Transcription

1 PARCOURS DE FORMATION SIN FPGA Formation des enseignants. Spécialité SIN du baccalauréat STI2D Christian Dupaty Professeur de génie électrique Lycée Fourcade Gardanne

2 Contrôle D ACCES à un parking Descriptions en VHDL Projet support du parcours SIN FPGA Christian Dupaty académie d Aix-Marseille christian.dupaty@ac-aix-marseille.fr

3 Parcours SIN-FPGA C.Dupaty Académie d'aix-marseille 3

4 Développement d applications sur FPGA SCHEMAS Machines à états VHDL(s) VHDL de haut niveau Symboles SCHEMA de haut niveau C.Dupaty Académie d'aix-marseille 4

5 VHDL Les TP font appels à des notions du langage VHDL. Consultez le livre de J.Weber et S.Moutault le.fr/books?id=ak oiowjcqnuc C.Dupaty Académie d'aix-marseille 5

6 Parking -Descriptions Comparer avec 0 Véhicule entrant Autoriser l entrée d un véhicule Décompter Autoriser l ouverture de la barrière Barrière Véhicule sortant Initialise, parking vide Détecter la sortie d un véhicule Compter Afficher le nombre de places restants Utilisateur Comparer avec le nombre max de places Max Vert: fonctions développées par MAE Bleu: fonction développée par macro-composants Rouge: fonctions développées en VHDL Orange: fonctions développées en schéma C.Dupaty Académie d'aix-marseille 6

7 Structure logique à réaliser SCHEMA VHDL MAE SCHEMA C.Dupaty Académie d'aix-marseille 7

8 VHDL: décodeur 2 4 Description par équations -- VHDL Decodeur 2 vers 4 -- Description par equations Library ieee; Use ieee.std_logic_1164.all; ENTITY dec24 is PORT ( S : OUT STD_LOGIC_VECTOR (3 downto 0); E : IN STD_LOGIC_VECTOR (1 downto 0)); END dec24; ARCHITECTURE combinatoire OF dec24 IS signal S0, S1, S2, S3 : STD_LOGIC ; BEGIN S0 <= not(e(0)) and not (E(1)) ; S1 <= E(0) and not(e(1)); S2 <= not(e(0)) and E(1); S3 <= E(0) and E(1) ; S <= S3 & S2 & S1 & S0; Déclaration des librairies de fonctions utilisées Équations logiques: Dans ce mode de description c est le programmeur qui résout le problème. On retrouve ici les fonctions logiques d un schéma. E1 E0 S3 S2 S1 S Le nom des signaux qui rentrent et sortent du composant Ici deux vecteurs de bit (en fait des bus) un de deux et un de trois bits E contient les bits E(0) et E(1) END combinatoire; C.Dupaty Académie d'aix-marseille 8

9 VHDL: décodeur 2 4 Description when -else -- Decodeur 2-4 avec when else Library ieee; Use ieee.std_logic_1164.all; ENTITY Decode is PORT ( S : OUT STD_LOGIC_VECTOR (3 downto 0); E : IN STD_LOGIC_VECTOR (1 downto 0)); END Decode; ARCHITECTURE combinatoire OF Decode IS BEGIN S <= "0001" WHEN E = "00" else "0010" WHEN E = "01" else "0100" WHEN E = "10" else "1000" ; END combinatoire; C.Dupaty Académie d'aix-marseille 9

10 -- Decodeur 2-4 avec with select Library ieee; Use ieee.std_logic_1164.all; VHDL: décodeur 2 4 Description with -select ENTITY Decode is PORT ( S : OUT STD_LOGIC_VECTOR (3 downto 0); E : IN STD_LOGIC_VECTOR (1 downto 0)); END Decode; ARCHITECTURE combinatoire OF Decode IS signal S0, S1, S2, S3 : STD_LOGIC ; begin with E select S <= "0001" when "00", "0010" when "01", "0100" when "10", "1000" when "11", "----" when others ; END combinatoire; C.Dupaty Académie d'aix-marseille 10

11 VHDL: décodeur 2 4 Description par process (if, case..) -- Decodeur 2-4 avec process Library ieee; Use ieee.std_logic_1164.all; ENTITY Dec2_4_process is PORT ( S : OUT STD_LOGIC_VECTOR (3 downto 0); E : IN STD_LOGIC_VECTOR (1 downto 0)); END Dec2_4_process; ARCHITECTURE combinatoire OF Dec2_4_process IS Begin process(e) begin case E is when "00"=> S<="0001"; when "01"=> S<="0010"; when "10"=> S<="0100"; when "11"=> S<="1000"; when others=> S<="0000"; end case; end process; end combinatoire; C.Dupaty Académie d'aix-marseille 11

12 Synthèse VHDL comportementale Avec with - select Par équations Avec when - else C.Dupaty Académie d'aix-marseille 12

13 Synthèse VHDL gates Dans les quatre descriptions, QUARTUS arrivera à la même optimisation C.Dupaty Académie d'aix-marseille 13

14 Exercices Description combinatoire : Decodeur 7 segments sur KIT DE2 (projet parking) Description séquentielle : clignoteur 1s Analyse d un programme complexe : Horloge sur afficheur LCD, ajout de boutons de réglage des heures et des minutes C.Dupaty Académie d'aix-marseille 14

15 Maintenant, il faut essayer C.Dupaty Académie d'aix-marseille 15

SIN-FPGA DESCRIPTION PAR SCHEMA

SIN-FPGA DESCRIPTION PAR SCHEMA SIN-FPGA DESCRIPTION PAR SCHEMA Documents ressources: http://www.altera.com/literature/lit-index.html Introduction to Quartus II : intro_to_quartus2.pdf Documentation QUARTUS II : quartusii_handbook.pdf

Plus en détail

T. BLOTIN Lycée Paul-Eluard 93206 SAINT-DENIS

T. BLOTIN Lycée Paul-Eluard 93206 SAINT-DENIS T. BLOTIN Lycée Paul-Eluard 93206 SAINT-DENIS SOMMAIRE I. Le VHDL pour qui, pourquoi, quand, comment? A. Le VHDL!...... 1 B. Pourquoi un langage de description?...... 1 C. Les limites actuelles...... 2

Plus en détail

Manipulations du laboratoire

Manipulations du laboratoire Manipulations du laboratoire 1 Matériel Les manipulations de ce laboratoire sont réalisées sur une carte électronique comprenant un compteur 4-bit asynchrone (74LS93) avec possibilité de déclenchement

Plus en détail

Le langage VHDL. Eduardo Sanchez EPFL

Le langage VHDL. Eduardo Sanchez EPFL Le langage VHDL Eduardo Sanchez EPFL Livres conseillés: John F. Wakerly Digital design (4th edition) Prentice Hall, 2005 Peter J. Ashenden The designer's guide to VHDL (3rd edition) Morgan Kaufmann, 2008

Plus en détail

EPREUVE OPTIONNELLE d INFORMATIQUE CORRIGE

EPREUVE OPTIONNELLE d INFORMATIQUE CORRIGE EPREUVE OPTIONNELLE d INFORMATIQUE CORRIGE QCM Remarque : - A une question correspond au moins 1 réponse juste - Cocher la ou les bonnes réponses Barème : - Une bonne réponse = +1 - Pas de réponse = 0

Plus en détail

QUESTION 1 {2 points}

QUESTION 1 {2 points} ELE4301 Systèmes logiques II Page 1 de 8 QUESTION 1 {2 points} En se servant de paramètres électriques donnés dans le Tableau 1 ci-dessous, on désire déterminer la fréquence d opération du compteur présenté

Plus en détail

PIC EVAL Dev Board PIC18F97J60

PIC EVAL Dev Board PIC18F97J60 PIC EVAL Dev Board PIC18F97J60 2 TP1 : Prise en main de l environnement de programmation pour la carte PIC EVAL-ANFA Pour répondre aux questions et justifier vos réponses, vous pouvez faire des copies

Plus en détail

Contrôle d accès UTIL TP N 1 découverte

Contrôle d accès UTIL TP N 1 découverte Contrôle d accès UTIL TP N 1 découverte A partir de : Documents sur CD TIL : \CD usesame\documentations\produits_til\modules\util_tillys fpr_utilv2.pdf FTe_UTiL_V2.pdf UTiL2_express.pdf \CD usesame\documentations\logiciels_til\tilman

Plus en détail

Éléments d informatique Cours 3 La programmation structurée en langage C L instruction de contrôle if

Éléments d informatique Cours 3 La programmation structurée en langage C L instruction de contrôle if Éléments d informatique Cours 3 La programmation structurée en langage C L instruction de contrôle if Pierre Boudes 28 septembre 2011 This work is licensed under the Creative Commons Attribution-NonCommercial-ShareAlike

Plus en détail

ACTIVITÉ DE PROGRAMMATION

ACTIVITÉ DE PROGRAMMATION ACTIVITÉ DE PROGRAMMATION The purpose of the Implementation Process is to realize a specified system element. ISO/IEC 12207 Sébastien Adam Une introduction 2 Introduction Ø Contenu Utilité de l ordinateur,

Plus en détail

NIVEAU D'INTERVENTION DE LA PROGRAMMATION CONCURRENTE

NIVEAU D'INTERVENTION DE LA PROGRAMMATION CONCURRENTE NIVEAU D'INTERVENTION DE LA PROGRAMMATION CONCURRENTE Une application se construit par étapes 1) CAHIER DES CHARGES + ANALYSE FONCTIONNELLE = organisation fonctionnelle (QUE FAIRE) 2) ANALYSE OPERATIONNELLE

Plus en détail

CA 3000 CA 3000 PROGRAMMATION PAR MINITEL

CA 3000 CA 3000 PROGRAMMATION PAR MINITEL CA 3000 CA 3000 PROGRAMMATION PAR MINITEL UTILISATION A - CONFIGURATION CA 3000 B - DATE ET HEURE C - ENREGISTRE R RES IDENT D - MODIFIER RESIDENT E - LISTE REPERTOIRE F - GROUPES LECTEURS G - GROUPES

Plus en détail

Surveillance de Scripts LUA et de réception d EVENT. avec LoriotPro Extended & Broadcast Edition

Surveillance de Scripts LUA et de réception d EVENT. avec LoriotPro Extended & Broadcast Edition Surveillance de Scripts LUA et de réception d EVENT avec LoriotPro Extended & Broadcast Edition L objectif de ce document est de présenter une solution de surveillance de processus LUA au sein de la solution

Plus en détail

ARDUINO DOSSIER RESSOURCE POUR LA CLASSE

ARDUINO DOSSIER RESSOURCE POUR LA CLASSE ARDUINO DOSSIER RESSOURCE POUR LA CLASSE Sommaire 1. Présentation 2. Exemple d apprentissage 3. Lexique de termes anglais 4. Reconnaître les composants 5. Rendre Arduino autonome 6. Les signaux d entrée

Plus en détail

Once the installation is complete, you can delete the temporary Zip files..

Once the installation is complete, you can delete the temporary Zip files.. Sommaire Installation... 2 After the download... 2 From a CD... 2 Access codes... 2 DirectX Compatibility... 2 Using the program... 2 Structure... 4 Lier une structure à une autre... 4 Personnaliser une

Plus en détail

Conception Systèmes numériques VHDL et synthèse automatique des circuits

Conception Systèmes numériques VHDL et synthèse automatique des circuits Année 2008-2009 Conception Systèmes numériques VHDL et synthèse automatique des circuits Travaux pratiques Pentium4 Présentation du simulateur VHDL sous environnement Cadence Présentation de l outil Synopsys

Plus en détail

Conception Systèmes numériques VHDL et synthèse automatique des circuits

Conception Systèmes numériques VHDL et synthèse automatique des circuits Année 2011-2012 Conception Systèmes numériques VHDL et synthèse automatique des circuits Travaux pratiques WIDEMACV1 LAAS-CNRS 2011 Présentation du simulateur VHDL sous environnement Cadence Présentation

Plus en détail

Dossier technique. Présentation du bus DMX et Utilisation des options EL13 / EL14 ERM AUTOMATISMES INDUSTRIELS 1 LE PROTOCOLE DMX 2

Dossier technique. Présentation du bus DMX et Utilisation des options EL13 / EL14 ERM AUTOMATISMES INDUSTRIELS 1 LE PROTOCOLE DMX 2 ERM AUTOMATISMES INDUSTRIELS 280 Rue Edouard Daladier 84973 CARPENTRAS Cedex Tél : 04 90 60 05 68 - Fax : 04 90 60 66 26 Site : http://www.erm-automatismes.com/ E-Mail : Contact@erm-automatismes.com 1

Plus en détail

Arbres binaires de recherche

Arbres binaires de recherche 1 arbre des comparaisons 2 recherche dichotomique l'arbre est recalculé à chaque recherche 2 5 3 4 7 9 1 6 1 2 3 4 5 6 7 9 10 conserver la structure d'arbre au lieu de la reconstruire arbre binaire de

Plus en détail

COMMANDER A DISTANCE LE ROBOT-PONG ETUDE DE LA TELECOMMANDE (2 nde PARTIE)

COMMANDER A DISTANCE LE ROBOT-PONG ETUDE DE LA TELECOMMANDE (2 nde PARTIE) SIN STI2D - Système d'information et Numérique TD TP Cours Synthèse Devoir Evaluation Projet Document ressource COMMANDER A DISTANCE LE ROBOT-PONG ETUDE DE LA TELECOMMANDE (2 nde PARTIE) 1 GESTION DES

Plus en détail

TP1 : Initiation à Java et Eclipse

TP1 : Initiation à Java et Eclipse TP1 : Initiation à Java et Eclipse 1 TP1 : Initiation à Java et Eclipse Systèmes d Exploitation Avancés I. Objectifs du TP Ce TP est une introduction au langage Java. Il vous permettra de comprendre les

Plus en détail

Notice d utilisation Cafetère isotherme programmable CL-ISPR12X - 938896 FR-1. Version 2013.08.27

Notice d utilisation Cafetère isotherme programmable CL-ISPR12X - 938896 FR-1. Version 2013.08.27 Notice d utilisation Cafetère isotherme programmable CL-ISPR12X - 938896 FR-1 Version 2013.08.27 INSTRUCTIONS DE SÉCURITÉ Cet appareil peut être utilisé par des enfants à partir de 8 ans et par des personnes

Plus en détail

TP N 7 «ALARME INTRUSION» TP découverte «Alarme intrusion filaire LEGRAND»

TP N 7 «ALARME INTRUSION» TP découverte «Alarme intrusion filaire LEGRAND» Lycée des Métiers «Louise Michel» Rue Villebois Mareuil 16700 RUFFEC T BACPRO ELEEC «ALARME INTRUSION» TP découverte «Alarme intrusion filaire LEGRAND» NOM :.... Prénom :... Page 1 / 11 L ALARME INTRUSION

Plus en détail

PRESENTATION RESSOURCES. Christian Dupaty BTS Systèmes Numériques Lycée Fourcade Gardanne Académie d Aix Marseille

PRESENTATION RESSOURCES. Christian Dupaty BTS Systèmes Numériques Lycée Fourcade Gardanne Académie d Aix Marseille PRESENTATION RESSOURCES Christian Dupaty BTS Systèmes Numériques Lycée Fourcade Gardanne Académie d Aix Marseille 1) Introduction, Objectifs et Intentions Le BTS SN (Systèmes Numériques) intègre la formation

Plus en détail

GPA770 Microélectronique appliquée Exercices série A

GPA770 Microélectronique appliquée Exercices série A GPA770 Microélectronique appliquée Exercices série A 1. Effectuez les calculs suivants sur des nombres binaires en complément à avec une représentation de 8 bits. Est-ce qu il y a débordement en complément

Plus en détail

Contrôle distant par liaison SSH Christian Dupaty BTS Systèmes Numériques Lycée Fourcade Gardanne Académie d Aix Marseille

Contrôle distant par liaison SSH Christian Dupaty BTS Systèmes Numériques Lycée Fourcade Gardanne Académie d Aix Marseille Contrôle distant par liaison SSH Christian Dupaty BTS Systèmes Numériques Lycée Fourcade Gardanne Académie d Aix Marseille 1) TP Configuration client SSH sur PC Connaitre SSH, lire : http://fr.wikipedia.org/wiki/secure_shell

Plus en détail

SERVEUR WEB. Christian Dupaty BTS Systèmes Numériques Lycée Fourcade Gardanne Académie d Aix Marseille

SERVEUR WEB. Christian Dupaty BTS Systèmes Numériques Lycée Fourcade Gardanne Académie d Aix Marseille SERVEUR WEB Christian Dupaty BTS Systèmes Numériques Lycée Fourcade Gardanne Académie d Aix Marseille 1) TP : Serveur WEB L ordinateur Raspberry Pi est connecté en réseau par un connecteur Ethernet et/ou

Plus en détail

Partie I : Créer la base de données. Année universitaire 2008/2009 Master 1 SIIO Projet Introduction au Décisionnel, Oracle

Partie I : Créer la base de données. Année universitaire 2008/2009 Master 1 SIIO Projet Introduction au Décisionnel, Oracle Année universitaire 2008/2009 Master 1 SIIO Projet Introduction au Décisionnel, Oracle Ce projet comporte trois parties sur les thèmes suivants : création de base de donnée, requêtes SQL, mise en œuvre

Plus en détail

Contrôleur de communications réseau. Guide de configuration rapide DN1657-0606

Contrôleur de communications réseau. Guide de configuration rapide DN1657-0606 K T - N C C Contrôleur de communications réseau Guide de configuration rapide DN1657-0606 Objectif de ce document Ce Guide de configuration rapide s adresse aux installateurs qui sont déjà familiers avec

Plus en détail

Vous avez cliqué sur le lien disponible sur le Site Uniformation. Vous venez d arriver sur cette page.

Vous avez cliqué sur le lien disponible sur le Site Uniformation. Vous venez d arriver sur cette page. Vous avez cliqué sur le lien disponible sur le Site Uniformation. Vous venez d arriver sur cette page. Ce site vous permettra de faire votre demande d agrément en ligne. Première étape, vous identifier.

Plus en détail

3. SPÉCIFICATIONS DU LOGICIEL. de l'expression des besoins à la conception. Spécifications fonctionnelles Analyse fonctionnelle et méthodes

3. SPÉCIFICATIONS DU LOGICIEL. de l'expression des besoins à la conception. Spécifications fonctionnelles Analyse fonctionnelle et méthodes PLAN CYCLE DE VIE D'UN LOGICIEL EXPRESSION DES BESOINS SPÉCIFICATIONS DU LOGICIEL CONCEPTION DU LOGICIEL LA PROGRAMMATION TESTS ET MISE AU POINT DOCUMENTATION CONCLUSION C.Crochepeyre Génie Logiciel Diapason

Plus en détail

AMICUS 18 (2ème partie) 4) Présentation du logiciel Amicus IDE

AMICUS 18 (2ème partie) 4) Présentation du logiciel Amicus IDE AMICUS 18 (2ème partie) Dans la première partie, nous avions présenté la platine Amicus 18 et nous avions réalisé quelques montages simples. Nous allons découvrir un peu mieux la programmation. Dans la

Plus en détail

Plan. École Supérieure d Économie Électronique. Plan. Chap 9: Composants et systèmes de sécurité. Rhouma Rhouma. 21 Juillet 2014

Plan. École Supérieure d Économie Électronique. Plan. Chap 9: Composants et systèmes de sécurité. Rhouma Rhouma. 21 Juillet 2014 École Supérieure d Économie Électronique Chap 9: Composants et systèmes de sécurité 1 Rhouma Rhouma 21 Juillet 2014 2 tagging et port trunk Création des via les commandes sur switch cisco 1 / 48 2 / 48

Plus en détail

MAC-TC: programmation d un plate forme DSP-FPGA

MAC-TC: programmation d un plate forme DSP-FPGA MAC-TC: programmation d un plate forme DSP-FPGA Tanguy Risset avec l aide de: Nicolas Fournel, Antoine Fraboulet, Claire Goursaud, Arnaud Tisserand - p. 1/17 Plan Partie 1: le système Lyrtech Introduction

Plus en détail

Génie Logiciel avec Ada. 4 février 2013

Génie Logiciel avec Ada. 4 février 2013 Génie Logiciel 4 février 2013 Plan I. Généralités II. Structures linéaires III. Exceptions IV. Structures arborescentes V. Dictionnaires I. Principes II. Notions propres à la POO I. Principes Chapitre

Plus en détail

Algorithmique & programmation

Algorithmique & programmation Algorithmique & programmation Type structuré Article, Enregistrement, Structure Définition de nouveaux types!! On a vu les types simples "! entier, booléen, caractère, chaîne de caractères!! Comment gérer

Plus en détail

CYLINDRE ET BÉQUILLE ÉLECTRONIQUES À BADGE Aperio E100 & C100

CYLINDRE ET BÉQUILLE ÉLECTRONIQUES À BADGE Aperio E100 & C100 SYSTÈMES D IDENTIFICATION CYLINDRE ET BÉQUILLE ÉLECTRONIQUES À BADGE Aperio E100 & C100 CONTRÔLE D ACCÈS SANS FIL RFID Contrôle des portes en temps réel. Fonctionnalités Aperio : le chaînon manquant grâce

Plus en détail

LES TYPES DE DONNÉES DU LANGAGE PASCAL

LES TYPES DE DONNÉES DU LANGAGE PASCAL LES TYPES DE DONNÉES DU LANGAGE PASCAL 75 LES TYPES DE DONNÉES DU LANGAGE PASCAL CHAPITRE 4 OBJECTIFS PRÉSENTER LES NOTIONS D ÉTIQUETTE, DE CONS- TANTE ET DE IABLE DANS LE CONTEXTE DU LAN- GAGE PASCAL.

Plus en détail

Utilisation de JAVA coté Application serveur couplé avec Oracle Forms Hafed Benteftifa www.degenio.com Novembre 2008

Utilisation de JAVA coté Application serveur couplé avec Oracle Forms Hafed Benteftifa www.degenio.com Novembre 2008 Introduction Utilisation de JAVA coté Application serveur couplé avec Oracle Forms Hafed Benteftifa www.degenio.com Novembre 2008 Forms 10g permet l utilisation du JAVA côté client et côté application

Plus en détail

ET 24 : Modèle de comportement d un système Boucles de programmation avec Labview.

ET 24 : Modèle de comportement d un système Boucles de programmation avec Labview. ET 24 : Modèle de comportement d un système Boucles de programmation avec Labview. Sciences et Technologies de l Industrie et du Développement Durable Formation des enseignants parcours : ET24 Modèle de

Plus en détail

THEMIS-ACCES GESTION DES TEMPS ET DES ACCES

THEMIS-ACCES GESTION DES TEMPS ET DES ACCES THEMIS-ACCES GESTION DES TEMPS ET DES ACCES Coupler la gestion de temps et la gestion des accès, tel est le principe simple sur lequel repose THEMIS-ACCES. Filtrer & Tracer Basé sur la suite logicielle

Plus en détail

Compilation (INF 564)

Compilation (INF 564) Présentation du cours Le processeur MIPS Programmation du MIPS 1 Compilation (INF 564) Introduction & architecture MIPS François Pottier 10 décembre 2014 Présentation du cours Le processeur MIPS Programmation

Plus en détail

Préparer un état de l art

Préparer un état de l art Préparer un état de l art Khalil DRIRA LAAS-CNRS, Toulouse Unité de recherche ReDCAD École Nationale d ingénieurs de Sfax Étude de l état de l art? Une étude ciblée, approfondie et critique des travaux

Plus en détail

SNT4U16 - Initiation à la programmation 2014-2015. TD - Dynamique de POP III - Fichiers sources

SNT4U16 - Initiation à la programmation 2014-2015. TD - Dynamique de POP III - Fichiers sources SNT4U16 - Initiation à la programmation Licence SVT 2 ème année 2014-2015 TD - Dynamique de POP III - Fichiers sources contacts : mathias.gauduchon@univ-amu.fr, melika.baklouti@univ-amu.fr, xavier.giraud@univ-amu.fr,

Plus en détail

MANUEL D INSTRUCTION

MANUEL D INSTRUCTION MANUEL D INSTRUCTION ---------- Régulateur de Charge Solaire pour deux batteries, Pour Caravanes, Camping-Cars & Bateaux Courant (12V or 12/24V automatique) NOTES: Utilisable seulement avec des panneaux

Plus en détail

Boîtier de contrôle et de commande avec EV 3/2 voies intégrée

Boîtier de contrôle et de commande avec EV 3/2 voies intégrée A B C Boîtier de contrôle et de commande avec EV 3/2 voies intégrée Conception Le boîtier de contrôle / commande type GEMÜ avec électrovanne pilote 3/2 voies intégrée pour les actionneurs pneumatiques

Plus en détail

Algorithmique I. Augustin.Lux@imag.fr Roger.Mohr@imag.fr Maud.Marchal@imag.fr. Algorithmique I 20-09-06 p.1/??

Algorithmique I. Augustin.Lux@imag.fr Roger.Mohr@imag.fr Maud.Marchal@imag.fr. Algorithmique I 20-09-06 p.1/?? Algorithmique I Augustin.Lux@imag.fr Roger.Mohr@imag.fr Maud.Marchal@imag.fr Télécom 2006/07 Algorithmique I 20-09-06 p.1/?? Organisation en Algorithmique 2 séances par semaine pendant 8 semaines. Enseignement

Plus en détail

FRANCAIS DGM1. Centrale Vigik 1 porte. N d homologation : - PS-200306-01 - MS-200306-02. Gamme: Vigik MANUEL D INSTALLATION.

FRANCAIS DGM1. Centrale Vigik 1 porte. N d homologation : - PS-200306-01 - MS-200306-02. Gamme: Vigik MANUEL D INSTALLATION. ANCAIS N d homologation : - PS-200306-01 - MS-200306-02 Gamme: Vigik MANUEL D INSTALLATION Group Products 1] PRESENTATION DU PRODUIT Conforme Mifare - ISO 14443A (système ouvert à toutes les marques de

Plus en détail

2015 // 2016. des formations. programme. Retrouvez toutes ces informations sur enseirb-matmeca.bordeaux-inp.fr

2015 // 2016. des formations. programme. Retrouvez toutes ces informations sur enseirb-matmeca.bordeaux-inp.fr programme des formations Filière Électronique...2 Filière Informatique...3 Filière Mathématique et Mécanique...4 Filière Télécommunications...5 Filière Réseaux et Systèmes d Information...6 Filière Systèmes

Plus en détail

Notice : THERMOMETRE SANS FIL

Notice : THERMOMETRE SANS FIL Notice : THERMOMETRE SANS FIL Nous vous remercions pour l achat de cette station météo. Merci de prendre le temps de lire et de comprendre ce manuel afin de mieux apprécier toutes les fonctions dont ce

Plus en détail

Millenium3 Atelier de programmation

Millenium3 Atelier de programmation Millenium3 Millenium 3 Millenium3 1. Aide en ligne CLSM3... 2 1.1 Présentation de l'atelier de programmation... 2 1.1.1 Présentation de l'atelier de programmation... 2 1.2 Comment débuter avec l'atelier

Plus en détail

Indicateur d accélération numérique. Mode d emploi

Indicateur d accélération numérique. Mode d emploi Indicateur d accélération numérique Mode d emploi Table des matières Page 3... Page 4... Page 5... Page 6... INDY-CATOR-G Contenu de l emballage Montage de l indicateur Programmation/Mise en service 2

Plus en détail

Monitor LRD. Table des matières

Monitor LRD. Table des matières Folio :1/6 Table des matières 1.Installation du logiciel... 3 2.Utilisation du logiciel... 3 2.1.Description de la fenêtre de commande... 3 2.1.1.Réglage des paramètres de communication... 4 2.1.2.Boutons

Plus en détail

Institut d Informatique & d Initiative Sociale

Institut d Informatique & d Initiative Sociale Institut d Informatique & d Initiative Sociale 89, Bd Aristide Briand 13300 Salon de Provence Tél : 04 90 56 18 31 Fax : 04 90 56 91 66 e-mail : contact@3is-formation.eu 3IS Centre de formation agréé code

Plus en détail

Tuto pour connecter une source RVB RGB à un moniteur Commodore / Amiga

Tuto pour connecter une source RVB RGB à un moniteur Commodore / Amiga Tuto pour connecter une source RVB RGB à un moniteur Commodore / Amiga Il faut 1 moniteur Commodore 1084S / 1083S-D1 Ecran 1084S (au dos, il est indiqué 1083S-D1), je l ai eut avec un Amiga 600 et on va

Plus en détail

CAA/SE N O T I C E D E M O N T A G E E T D U T I L I S A T I O N KIT DE MONTAGE. Clavier lumineux - 100 codes DIGICODE

CAA/SE N O T I C E D E M O N T A G E E T D U T I L I S A T I O N KIT DE MONTAGE. Clavier lumineux - 100 codes DIGICODE CAA/SE Clavier lumineux - 100 codes N O T I C E D E M O N T A G E E T D U T I L I S A T I O N L affichage lumineux par les leds rouge, jaune et verte est toujours opérationnel. Il est possible d avoir

Plus en détail

lundi 3 août 2009 Choose your language What is Document Connection for Mac? Communautés Numériques L informatique à la portée du Grand Public

lundi 3 août 2009 Choose your language What is Document Connection for Mac? Communautés Numériques L informatique à la portée du Grand Public Communautés Numériques L informatique à la portée du Grand Public Initiation et perfectionnement à l utilisation de la micro-informatique Microsoft Document Connection pour Mac. Microsoft Document Connection

Plus en détail

France SMS+ MT Premium Description

France SMS+ MT Premium Description France SMS+ MT Premium Description Summary Subscription : kinematics Subscription via SMS (Kinematic + messages) Subscription via Wap (Kinematic + messages) Subscription via Mix SMS / WAP Subscription

Plus en détail

Gestion de base de données

Gestion de base de données Université Libre de Bruxelles Faculté de Philosophie et Lettres Département des Sciences de l Information et de la Communication Gestion de base de données Projet : Take Off ASBL Cours de M. A. Clève STIC-B-505

Plus en détail

Corrigé des TD 1 à 5

Corrigé des TD 1 à 5 Corrigé des TD 1 à 5 1 Premier Contact 1.1 Somme des n premiers entiers 1 (* Somme des n premiers entiers *) 2 program somme_entiers; n, i, somme: integer; 8 (* saisie du nombre n *) write( Saisissez un

Plus en détail

Encoder 1.60. Encoder 1 sur 15. Codification fil par étage 15 étages max. + 2 flèches + signal de mouvement. Raccordements 0.1 mm²...

Encoder 1.60. Encoder 1 sur 15. Codification fil par étage 15 étages max. + 2 flèches + signal de mouvement. Raccordements 0.1 mm²... Displays ACCESSOIRES AFFICHEURS Encoder Encoder 1 sur 1 Le dispositif Encoder est nécessaire pour une codification 1 fil par étage avec des afficheurs conçus pour code binaire. Le dispositif Encoder convertit

Plus en détail

Application 1- VBA : Test de comportements d'investissements

Application 1- VBA : Test de comportements d'investissements Application 1- VBA : Test de comportements d'investissements Notions mobilisées Chapitres 1 à 5 du cours - Exemple de récupération de cours en ligne 1ère approche des objets (feuilles et classeurs). Corps

Plus en détail

NOTICE GPSTA1 I. DESCRIPTION II. ACCESSOIRES. J. R International - Eclats Antivols. 2014

NOTICE GPSTA1 I. DESCRIPTION II. ACCESSOIRES. J. R International - Eclats Antivols. 2014 I. DESCRIPTION NOTICE GPSTA1 1 : Connecteur antenne GSM 2 : Emplacement carte SIM 3 : Bouton pour faire sortir l emplacement carte SIM 4 : Connecteur jack pour micro 5 : Connecteur antenne GPS 6 : Connecteur

Plus en détail

Cours de Programmation 2

Cours de Programmation 2 Cours de Programmation 2 Programmation à moyenne et large échelle 1. Programmation modulaire 2. Programmation orientée objet 3. Programmation concurrente, distribuée 4. Programmation monadique 5. Les programmes

Plus en détail

Assembleur. Faculté I&C, André Maurer, Claude Petitpierre

Assembleur. Faculté I&C, André Maurer, Claude Petitpierre Assembleur Faculté I&C, André Maurer, Claude Petitpierre INTRODUCTION Logiciel utilisé Faculté I&C, André Maurer, Claude Petitpierre MEMOIRE Mémoire Faculté I&C, André Maurer, Claude Petitpierre Mémoire

Plus en détail

Traceur GPS Antivol. Le traceur est conforme aux normes européennes 95/56 E27

Traceur GPS Antivol. Le traceur est conforme aux normes européennes 95/56 E27 Traceur GPS Antivol Le traceur est conforme aux normes européennes 95/56 E27 Nous vous remercions d avoir choisi un produit de la gamme ATEXYS pour votre véhicule. Nous vous conseillons de lire attentivement

Plus en détail

Extrait des Exploitations Pédagogiques

Extrait des Exploitations Pédagogiques Pédagogiques Module : Compétitivité et créativité CI Première : Compétitivité et créativité CI institutionnel : Développement durable et compétitivité des produits Support : Robot - O : Caractériser les

Plus en détail

1. Structure d'un programme FORTRAN 95

1. Structure d'un programme FORTRAN 95 FORTRAN se caractérise par la nécessité de compiler les scripts, c'est à dire transformer du texte en binaire.(transforme un fichier de texte en.f95 en un executable (non lisible par un éditeur) en.exe.)

Plus en détail

//////////////////////////////////////////////////////////////////// Administration bases de données

//////////////////////////////////////////////////////////////////// Administration bases de données ////////////////////// Administration bases de données / INTRODUCTION Système d informations Un système d'information (SI) est un ensemble organisé de ressources (matériels, logiciels, personnel, données

Plus en détail

Recherche dans un tableau

Recherche dans un tableau Chapitre 3 Recherche dans un tableau 3.1 Introduction 3.1.1 Tranche On appelle tranche de tableau, la donnée d'un tableau t et de deux indices a et b. On note cette tranche t.(a..b). Exemple 3.1 : 3 6

Plus en détail

Gestion mémoire et Représentation intermédiaire

Gestion mémoire et Représentation intermédiaire Gestion mémoire et Représentation intermédiaire Pablo de Oliveira March 23, 2015 I Gestion Memoire Variables locales Les variables locales sont stockées: Soit dans un registre,

Plus en détail

Architecture des ordinateurs

Architecture des ordinateurs Architecture des ordinateurs Cours 4 5 novembre 2012 Archi 1/22 Micro-architecture Archi 2/22 Intro Comment assembler les différents circuits vus dans les cours précédents pour fabriquer un processeur?

Plus en détail

Initiation à LabView : Les exemples d applications :

Initiation à LabView : Les exemples d applications : Initiation à LabView : Les exemples d applications : c) Type de variables : Créer un programme : Exemple 1 : Calcul de c= 2(a+b)(a-3b) ou a, b et c seront des réels. «Exemple1» nom du programme : «Exemple

Plus en détail

MATLAB : COMMANDES DE BASE. Note : lorsqu applicable, l équivalent en langage C est indiqué entre les délimiteurs /* */.

MATLAB : COMMANDES DE BASE. Note : lorsqu applicable, l équivalent en langage C est indiqué entre les délimiteurs /* */. Page 1 de 9 MATLAB : COMMANDES DE BASE Note : lorsqu applicable, l équivalent en langage C est indiqué entre les délimiteurs /* */. Aide help, help nom_de_commande Fenêtre de travail (Command Window) Ligne

Plus en détail

Ecran : Processeur : OS : Caméra : Communication : Mémoire : Connectique : Audio : Batterie : Autonomie : Dimensions : Poids : DAS :

Ecran : Processeur : OS : Caméra : Communication : Mémoire : Connectique : Audio : Batterie : Autonomie : Dimensions : Poids : DAS : SMARTPHONE - DUAL-CORE - NOIR 3483072425242 SMARTPHONE - DUAL-CORE - BLEU XXXX SMARTPHONE - DUAL-CORE - BLANC 3483072485246 SMARTPHONE - DUAL-CORE - ROSE 3483073704131 SMARTPHONE - DUAL-CORE - ROUGE XXXX

Plus en détail

Licence de MIDO - 3ème année Spécialités Informatique et Mathématiques Appliquées

Licence de MIDO - 3ème année Spécialités Informatique et Mathématiques Appliquées Licence de MIDO - 3ème année Spécialités Informatique et Mathématiques Appliquées ANNEE 2014 / 2015 Désignation de l enseignement : Bases de données Nom du document : TP SQL sous PostgreSQL Rédacteur :

Plus en détail

Configurer la supervision pour une base MS SQL Server Viadéis Services

Configurer la supervision pour une base MS SQL Server Viadéis Services Configurer la supervision pour une base MS SQL Server Référence : 11588 Version N : 2.2 : Créé par : Téléphone : 0811 656 002 Sommaire 1. Création utilisateur MS SQL Server... 3 2. Configuration de l accès

Plus en détail

LES GRADES PROGRAMME D EXAMEN DE LA CEINTURE JAUNE A LA CEINTURE MARRON

LES GRADES PROGRAMME D EXAMEN DE LA CEINTURE JAUNE A LA CEINTURE MARRON LES GRADES PROGRAMME D EXAMEN DE LA CEINTURE JAUNE A LA CEINTURE MARRON 1 CEINTURE JAUNE Objectif : savoir exécuter les coups simples de K1 Rules/ K1 Japan En statique sur cibles OUI OUI NON NON NON En

Plus en détail

Systèmes de récupération de chaleur des eaux de drainage

Systèmes de récupération de chaleur des eaux de drainage Systèmes de récupération de chaleur des eaux de drainage Les objectifs d'apprentissage: Cet exposé vous informera au sujet des systèmes de récupération de chaleur des eaux de drainage (SRCED) et: Comment

Plus en détail

Conception de circuits numériques et architecture des ordinateurs

Conception de circuits numériques et architecture des ordinateurs Conception de circuits numériques et architecture des ordinateurs Frédéric Pétrot Année universitaire 2014-2015 Structure du cours C1 C2 C3 C4 C5 C6 C7 C8 C9 C10 C11 Codage des nombres en base 2, logique

Plus en détail

Présentation et installation PCE-LOG V4 1-5

Présentation et installation PCE-LOG V4 1-5 PCE-LOG V4 version borne externe type PC50 mesures U, I + 3 TS version coffret mural mesures U, U, I + 3TS PRESENTATION 1-5 1 Presentation PCE-LOG V4 est un datalogger pour la télésurveillance de la protection

Plus en détail

TP : STATION BLANI 2000 SIMULATION DU RESEAU INFORMATIQUE

TP : STATION BLANI 2000 SIMULATION DU RESEAU INFORMATIQUE SIN STI2D - Système d'information et Numérique TD TP Cours Synthèse Devoir Evaluation Projet Document ressource TP : STATION BLANI 2000 SIMULATION DU RESEAU INFORMATIQUE 1 MISE EN SITUATION Le plan réseau

Plus en détail

Algorithmique des Systèmes Répartis Protocoles de Communications

Algorithmique des Systèmes Répartis Protocoles de Communications Algorithmique des Systèmes Répartis Protocoles de Communications Master Informatique Dominique Méry Université de Lorraine 1 er avril 2014 1 / 70 Plan Communications entre processus Observation et modélisation

Plus en détail

Rapport de Mini-Projet en ArcGIS Engine

Rapport de Mini-Projet en ArcGIS Engine Rapport de Mini-Projet en ArcGIS Engine Réalisée par : Asmae BENMESSAOUD 3ème Année Cycle d Ingénieur Géoinformation Année Universitaire 2010- Sommaire 1 Introduction L analyse géographique et la visualisation

Plus en détail

GSM/GPRS/GPS Traceur Véhicule G-1000 PRO Manuel D utilisation

GSM/GPRS/GPS Traceur Véhicule G-1000 PRO Manuel D utilisation GSM/GPRS/GPS Traceur Véhicule G-1000 PRO Manuel D utilisation Version 1.0 Page 1 of 15 Table des matières Chapitre I - préface 1.1 Aperçu 1.2 Fonctions principales 1.1.1 Positionnement et Suivi 1.1.2 Déclenchement

Plus en détail

Formation. Mastère Spécialisé en Sécurité des Systèmes Intégrés & Applications. Post-master s degree in Security of Integrated Systems & Applications

Formation. Mastère Spécialisé en Sécurité des Systèmes Intégrés & Applications. Post-master s degree in Security of Integrated Systems & Applications Formation Mastère Spécialisé en Sécurité des Systèmes Intégrés & Applications Post-master s degree in Security of Integrated Systems & Applications Développer ses talents Ce mastère prépare à un emploi

Plus en détail

Master (filière Réseau) Parcours Recherche: Systèmes Informatiques et Réseaux (RTS)

Master (filière Réseau) Parcours Recherche: Systèmes Informatiques et Réseaux (RTS) Master (filière Réseau) Parcours Recherche: Systèmes Informatiques et Réseaux (RTS) Responsables: Tanguy Risset & Marine Minier Tanguy.Risset@insa-lyon.fr Marine.minier@insa-lyon.fr http://master-info.univ-lyon1.fr/m2rts/

Plus en détail

1. Structure d un programme C. 2. Commentaire: /*..texte */ On utilise aussi le commentaire du C++ qui est valable pour C: 3.

1. Structure d un programme C. 2. Commentaire: /*..texte */ On utilise aussi le commentaire du C++ qui est valable pour C: 3. 1. Structure d un programme C Un programme est un ensemble de fonctions. La fonction "main" constitue le point d entrée pour l exécution. Un exemple simple : #include int main() { printf ( this

Plus en détail

Le No.1 de l économie d énergie pour patinoires.

Le No.1 de l économie d énergie pour patinoires. Le No.1 de l économie d énergie pour patinoires. Partner of REALice system Economie d énergie et une meilleure qualité de glace La 2ème génération améliorée du système REALice bien connu, est livré en

Plus en détail

Introduction aux algorithmes répartis

Introduction aux algorithmes répartis Objectifs et plan Introduction aux algorithmes répartis Sacha Krakowiak Université Joseph Fourier Projet Sardes (INRIA et IMAG-LSR http://sardes.inrialpes.fr/people/krakowia! Introduction aux algorithmes

Plus en détail

ASR1 TD7 : Un microprocesseur RISC 16 bits

ASR1 TD7 : Un microprocesseur RISC 16 bits {Â Ö Ñ º ØÖ Ý,È ØÖ ºÄÓ Ù,Æ ÓÐ ºÎ ÝÖ Ø¹ ÖÚ ÐÐÓÒ} Ò ¹ÐÝÓÒº Ö ØØÔ»»Ô Ö Óº Ò ¹ÐÝÓÒº Ö» Ö Ñ º ØÖ Ý»¼ Ö½» ASR1 TD7 : Un microprocesseur RISC 16 bits 13, 20 et 27 novembre 2006 Présentation générale On choisit

Plus en détail

CONTEC CO., LTD. Novembre 2010

CONTEC CO., LTD. Novembre 2010 La gamme CONTEC CONTEC CO., LTD. Novembre 2010 1 Agenda Introduction Data acquisition and control Data Communication Expansion chassis and accessory Distributed I/O and media converter Stainless steel

Plus en détail

1. PRESENTATION DU PROJET

1. PRESENTATION DU PROJET Bac STI2D Formation des enseignants Jean-François LIEBAUT Denis PENARD SIN 63 : Prototypage d un traitement de l information analogique et numérique (PSoC) 1. PRESENTATION DU PROJET Les systèmes d éclairage

Plus en détail

Paris Airports - Web API Airports Path finding

Paris Airports - Web API Airports Path finding Paris Airports - Web API Airports Path finding Hackathon A660 Version Version Date writer Comment 1.0 19/05/2015 Olivier MONGIN Document creation Rédacteur : Olivier.MONGIN@adp.fr Date : 19/05/2015 Approbateur

Plus en détail

wezee Disk Wifi Hard Drive Guide d installation rapide

wezee Disk Wifi Hard Drive Guide d installation rapide wezee Disk Wifi Hard Drive Guide d installation rapide Contenu du Package Veuillez svp vérifier le contenu de l emballage que vous avez en main. S il manque quelque chose, n hésitez pas à contacter votre

Plus en détail

Programmation Web. Madalina Croitoru IUT Montpellier

Programmation Web. Madalina Croitoru IUT Montpellier Programmation Web Madalina Croitoru IUT Montpellier Organisation du cours 4 semaines 4 ½ h / semaine: 2heures cours 3 ½ heures TP Notation: continue interrogation cours + rendu à la fin de chaque séance

Plus en détail

ETAFI 2011. Millésime 2010 (v.22.2 Oct./2010) Service d'assistance Téléphonique : 0 826 888 488 ou www.etafi.fr

ETAFI 2011. Millésime 2010 (v.22.2 Oct./2010) Service d'assistance Téléphonique : 0 826 888 488 ou www.etafi.fr ETAFI 2011 Millésime 2010 (v.22.2 Oct./2010) Service d'assistance Téléphonique : 0 826 888 488 ou www.etafi.fr Sommaire 1. ETAFI 2011 (millésime 2010 - v.22.2 10/2010)... 3 Présentation de cette version...

Plus en détail

ARP-090G / ARP-090K NOTICE D'EMPLOI INSTRUCTION MANUAL

ARP-090G / ARP-090K NOTICE D'EMPLOI INSTRUCTION MANUAL 2 7 NOTICE D'EMPLOI INSTRUCTION MANUAL ARP-090G / ARP-090K Lire attentivement la notice avant d utiliser l appareil Before operating this product, please read user manual completely FRANCAIS EMPLACEMENT

Plus en détail