Software Development. and Programming of Multicore SOC

Dimension: px
Commencer à balayer dès la page:

Download "Software Development. and Programming of Multicore SOC"

Transcription

1 2009 Software Development and Programming of Multicore SOC Ahmed A. Jerraya CEA-LETI 1

2 MPSoC: HW and SW Architecture MPSoC Focus of this talk: Hardware nodes for performance Software nodes for flexibility Communication network Programming and Software node: Design of MPSOC Specific CPU subsystem GPP, = DSP, ASIP,... I/O and memory architecture HW-SW Interfaces Layered SW architecture Abstraction and Application code (threads) Hardware dependent software Refinement A programming model abstracts HW-SW interfaces for SW design. Hardware Node Software Node Communication Network Operating System SW application SW interface HAL HW/SW Specific I/O CPU MEM Interfaces DMA bridge NI HW COPRO HW PROTOCOL 2

3 Computing market Trends Outline Multiprocessor System on Chip: HW and SW Architectures Programming strategies: HW-SW interfaces abstraction SW Design Strategies for MPSoC: Gradual refinement Probing further, MPSoC with NoC Conclusions 3

4 Computing in Semiconductor market 100 B$ in 100 B$ in Computing for speed performances Computing for cost and Power/speed Performances 4

5 SOC Networking Driver Trends [ITRS] 4 Cores in 2007, 10 in 2010 and 100 in

6 SOC Consumer Portable Trends [ITRS] 32 Cores in in

7 SOC Consumer Stationary Trends [ITRS] 10TF = Average Top 500 HPC in 7

8 SoC Design Cost Trends Traditional Model Trend Développment Product 2/3 Developpment Plateform Techno. 1/3 Cost X5 65 to 32nm SW Architecture HW Design Design 40% CAD/Lab IC 10% Si Fab [MEDEA+Forum] [HDTV ST] Hardware dependant SW 50% [Ghenassia ST] +16% /an Application SW HW design CAD/Lab Si Fab Developpement Product 3/4 Developpement Plateform 1/4 8

9 SoC Design technology Trends Applications SW SW HW CAD/Lab Techno Plateform Si Fab SW -Méthods -MW -OS SW HW-SW Ifce HW IC Design Variability DFM CAD/Lab Techno Plateform Si Fab Performances costs Reliability Applications 9

10 Computing market Trends SW Design Strategies for MPSoC: Outline Multiprocessor System on Chip: HW and SW Architectures Programming strategies: HW-SW interfaces abstraction Probing further, MPSoC with NoC Conclusions Gradual refinement 10

11 MPSoC HW and SW Architectures CPUa HW CPUa... SW stack a SW stack... µcontroller HW Mem HW SW stack b DSP Homogeneous architecture Multiple Instances of one CPU 1 SW stack Standard programming model DSP+µcontroller (TI OMAP) 2 X SW stacks 2 X OS Separate programming Models SW stack a CPUa... SW stack x CPUx HW IP Heterogeneous MPSoC Multiple CPU Models Multiple CPU Clusters NoC Multiple SW stacks 11

12 Application specific MPSoC Diopsis Tile [ESWEEK06] ARM9 SS MEM SS DXM SRAM ARM9 SS DSP SS Bridge Bridge ARM9 ROM MEM SS POT SS (Periph. On Tile): I/O peripherals System peripherals Timer Bridge AMBA AHB POT SS mailbox PIC Bridge DMA DSP SS mailbox PMEM Interconnect: AMBA bus AIC SPI REG DMEM DSP Local & global memories accessible by both processing units Different communication schemes between CPUs [Popovicci] 12

13 Classical SW design flow to interface HW Programming Model: Abstract HW at Different level Discontinuities: Compilation: Generally ignore the CPU environment (Interrupts, Complex I/O) Sys.lib: adapt for different HW MMAP: Adapt to different CPU-memory architecture User.lib: to make the flow efficient for the application Application Architecture Sys.lib Program + API Calls Compiler Code+Calls Linker Executable Code Programming Model (API) MMAP User.lib 13

14 My SW Stack organization Layered SW Stack Application code SW code of tasks mapped on the CPU INIT HdS (Hardware dependent SW) OS + Communication + HAL (Hardware Abstraction Layer) Different SW components need to be validated incrementally Layers corresponds to Different SW abstraction levels HW platform model at each abstraction level Tasks init. T1 Tn HDS API Communication HAL OS HAL API Software Stack 14

15 Existing SoC Software generation environments High level application model (function + mapping) T1 T2 T3 T4 Software architecture parameters Simulation, performances analysis Software Stack Tasks I T1 T4 HDS API COM OS HAL API HPPC 09 Ahmed HAL Jerraya code For each Stack software generation Tasks init. Architecture COM Specific library libraries and tools Software stack composition OS library HAL library 15

16 Architecture specific SW design Challenges Application Memory access protocols DMA, HW I/O Processor architecture OS architecture [O Nils2001] HW-SW Interfaces Design SW Code / OS micro-processor Interrupt ADR Data IO HW A B C D Hardware 16

17 Computing market Trends SW Design Strategies for MPSoC: Outline Multiprocessor System on Chip: HW and SW Architectures Programming strategies: HW-SW interfaces abstraction Probing further, MPSoC with NoC Conclusions Gradual refinement 17

18 Classical High Level Programming: Abstract HW-SW Interfaces Abstract HW model for SW design Programming language with implicit primitives (e.g. module hierarchy & threads in SystemC) API: Application Programming Interface (MPI, Posix Threads) Simulation model (MPICH, Linux) Used by SW community to free the SW designer from knowing HW details. Different programming styles (Shared mem, Mesg Passing, Streaming ) Facilitate porting of application SW over different architectures that support the same API. SW modules SW 1 SW 2 SW n SW modules API HW dependent SW (HDS) HW architecture Implementation API... SW modules API Execution Environment Simulation 18

19 Defining programming models for SoC Application SW Designer: A set of system calls used to hide the underlying execution platform. Also Called Programming Model System SW designer: Low level SW implementation of the programming Model for a given HW architecture. HW designer: A set of registers, control signals and more sophisticated adaptors to link CPU to HW subsystems. CPU is the ultimate HW-SW Interface Sequential scheme assuming HW is ready to start low level SW design Programming model for SoC Abstracts both HW and SW in addition to CPU Hides HW-SW interfaces tradeoff Sequential SW program Call HW (x, y, z) x y z HW function wait start API HW Dependant SW CPU HW-SW (local Interfaces Architecture) = data Programming Model Start done x y z 19

20 Programming models, the GAP SoC Design Programming Model RTL (Verilog, BinSW) Virtual Prototype (RTL HW, BinSW, e.g. SystemC) Explicit concepts ALL Detailed HW implementation ALL RTL HW CPU organization Mixed Abstract HW-SW Interfaces models MPI NONE Distributed SW Design RT-CORBA High Level Synchronisation Communication NONE CORBA SDL - Concurrency - Threading NONE 20

21 Classical SoC Design : The HW-SW GAPS Software Sub-System Software Thread 1 Software Thread 2 Fully implicit HW/SW Interface Hardware Software Sub-System Software Software Thread 1 Thread 1 Abstract GAP HW/SW Interface Hardware SW Binary Appli OS HAL ISS IT Ctrl Fully explicit HW/SW Interface MEM FIFO HW System Level Functional specification Partition ning Software design Hardware/Software Early HW/SW integration discontinuity Integration Virtual Prototype ISA/RTL Hardware design [Gerin ASPDAC 07] Correction cycle 21

22 Programming Models for MPSoC RTL (Verilog, BinSW) Virtual Prototype (RTL HW, BinSW, e.g. SystemC) SoC Design Programming Model Explicit concepts ALL Detailed HW implementation ALL Transaction Accurate (TLM HW, TLM SW, e.g. SystemC++) -OS - Specific I/O -CPU Subsystem - Explicit HW modules Virtual Architecture (Abstract HW, Threads, e.g. SystemC, Simulink) Communication/ Computation Modules Abstract Interconnect New HW-SW Abstraction levels Hiding CPU in addition to HW & SW Distributed SW Design MPI RT-CORBA CORBA SDL NONE RTL HW CPU organization Synchronisation Communication NONE - Concurrenc - Threading NONE 22

23 Virtual Architecture model - Explicit SW communication API - Explicit System Interconnect - Implicit HDS (OS, Communication Implementation) - Typical Models: TTL, DSoC, Pthreads HW Node SW Node SW Node VA_BFM to Abstract HDS & CPU Sub- System Model Software Thread 1 Software Thread 2 HDS API Explicit Interconnect Native SW execution on Host 23

24 SW code at Virtual Architecture level Memory declaration Computation code Communication code using HdS API Specific mapping to platform channels T1 HDS API Abstract CPU SS1 HW SS Interconnect T2 HDS API T3 HDS API Abstract CPU SS2 Task code of T1 static int B[10], C[20], D[10]; void task_t1( ) { while(1) { recv_data (reg_ch, B, 10); F1(B,C); F2(C,D); send_data (gmem_ch, D, 10); } } Memory Communication API Computation code Communication API Communication primitive void recv_data (REG_Ch* ch, void* dst, int size) { dst = ch->read (ch->address,size); } class REG_Ch : public sc_prim_channel { word *buffer; public: word * read (unsigned int addr,int size) { for (i=0; i<size; i++) *(ret+i)=*(buffer + addr +i); return ret; } }; 24

25 Transaction Accurate model HW Node SW Node - Explicit OS - Explicit CPU Subsystem Architecture - Implicit CPU/HAL (TA_BFM) - Transaction/cycle accurate HW - Typical Model : Native SW Execution [ST/TIMA/Coware/ ] Interconnect SW Node Mem I/O DMA TA_BFM to abstract CPU & Hal HW Network Accel. interface Software Thread 1 Software Thread 2 HDS API Virtual Architecture OS HAL API Specific I/O Native SW execution on Host 25

26 SW code at Transaction Accurate level HdS responsible for task and HW resources management Task code integration with OS and HdS API implementation Communication (Explicit communication protocol ) Example of TA SW code main.c extern void task_t2 (void); void start (void){ create_task(task_t2); } Abstract CPU1 Interface Communication SW void recv_data (ch,dst,size) { switch (ch.protocol){ case FIFO: If (ch.state==empty) schedule(); case REG: dst = _io_read_reg (ch.addr,size); task_t2.c OS channel ch_fifo,ch_reg; void schedule(void) { void task_t2(void ) { int old_tid=cur_tid; recv_data (ch_fifo,b, 10); cur_tid=new_tid; recv_data (ch_reg,c,20); cxt_switch(old_tid.cxt,cur_tid.cxt); } } T2 T3 HDS API Comm OS HAL API CPU-SS1 Memory Periph Main HW SS Interconnect Abstract CPU2 Interface Memory Periph 26

27 Computing market Trends Outline Multiprocessor System on Chip: HW and SW Architectures Programming strategies: HW-SW interfaces abstraction SW Design Strategies for MPSoC: Gradual refinement Probing further, MPSoC with NoC Conclusions 27

28 Ideal Design Flow ARM7 Mem Local Bus interface Periph.... XTENSA Mem Local Bus Architecture interface Periph. Application Hardware Architecture Template Global Interconnect HW Lib 1 Mapping Architecture/Alogoritm Mapping (Simulink) HW Models. SW Gen. SW Lib Simulink Model Virtual Prototype Interconnect VP Model 28

29 Automatic code generation: Gradual refinement [Popovicci07, Bouchima 06, Gauthier 03] Seamless refinement at four abstraction levels: Software Design/Debug Automatic Code Generation CPU SS2 Thread Thread Main 1 Main 2 Hardware Models App Hardware App HdS Target CPU HW-SW Codesign OS and HW codesign Design Platform Abstractions port port port port Platform Abstractions Abstract Channels Interconnect Interconnect System level model (Simulink CAAM) Virtual architecture Model (SystemC) Transaction accurate (SystemC) Virtual prototype (SystemC) 29

30 Simulink CAAM of M-JPEG Decoder Three CPUs (ARM, Xtensa) Communication Units (GFIFO, HWFIFO, SWFIFO) [RSP2007 DAC07] Architecture Layer Subsystem Layer 30

31 Experiment Results of MJPEG 10-frame QVGA (320x240) JPEG stream RTW: sequential program on the host machine CPU1 CPU2 CPU3 Simulink: Simulation in Simulink GUI 3ARM ARM7 ARM7 ARM7 VA: System C model without HW information 2XT1ARM Xtensa Xtensa ARM TA: Abstract CPU + Other devices (SC TLM) 1ARM2XT ARM Xtensa Xtensa VP: Cycle Accurate ISS + Other devices (SC TLM) 3XT Xtensa Xtensa Xtensa The architecture models at different abstraction levels provide trade-off alternatives between simulation time and architecture detail. Three ARM7 Subsystems 31

32 Performance Analysis of MJPEG percentage of total cycles ARM 2XT1ARM these performance analysis 10 results, 7, designers 2 task allocation to the 0 CPU1 processors CPU2so CPU3 CPU1 CPU2 CPU3 77 Using these can optimize task allocation that each processor has enough margins for future 1ARM2XT 3XT additional functions CPU1 CPU2 CPU3 57 percentage of total cycles CPU1 CPU2 CPU3 32

33 Computing market Trends Outline Multiprocessor System on Chip: HW and SW Architectures Programming strategies: HW-SW interfaces abstraction SW Design Strategies for MPSoC: Gradual refinement Probing further, MPSoC with NoC Conclusions 33

34 What is an MPSoC based on NoC? NoC is an interconnection structure for exchanging information on a chip between heterogeneous or homogeneous HW/SW resources CC RH CC DSP CC RH CC IP LCG LCG LCG LCG CC MEM CC CPU CC MEM CC µc LCG LCG LCG LCG CC IP CC RH CC DSP CC IP LCG LCG LCG LCG CC MEM CC DSP CC RH CC MEM LCG LCG LCG LCG 34

35 AMBRIC A chip based on 45 clusters of 8 processors 4,6 Mbits of distributed RAM The SR : a simpler 32-bit streaming RISC CPU, used for managing channel traffic The SRD : a 32-bit streaming RISC processor with DSP extensions for mathintensive processing An architecture designed to support data flow applications A complete toolkit to assist the programmer to manage 360 processors [Tom Halfhill, "Ambric's New Parallel Processor", 09 Ahmed Jerraya MicroprocessorHPPC Report, October 10, 2006] 35

36 PicoChip PC205 A chip for wireless application (WiMax, WCDMA) An array based on 248 LIW DSP cores Distributed and shared memory The need for accelerator blocks to meet real-time performance (Turbo Coder, IFFT/FFT, ) An ARM9 processor for integration of MAC functions PicoVHDL programming model [A. Duller, G. Panesar, and D. Towner, Parallel Processing - the picochip way!, Communicating Processing Architectures 2003, pp , 2003] 36

37 INTEL TeraScale A high complexity «Terascale» chip 4GHz clock frequency 1,28 TFlop of performance 65 nm CMOS Process 100 Million transistors 275 mm² die area A scalable architecture to manage complexity A 10x8 tile pattern A tile of 3mm² An in-order VLIW core mesh NoC A tile designed for GP applications with 2 FP units No specific tools for programming, Terascale Program with Berkeley [S. Vangal et al., "An 80-Tile 1.28TFLOPS Network-on-Chip in 65nm CMOS," IEEE ISSCC, Feb. 2007] 37

38 Programming = Configure Protocol Stack Programming model of the NoC-based platform is essential. It can determine : Reconfiguration management Task synchronization Power management Bandwidth allocation End-to-end flow control Protocol wrappers Packet routing GALS strategy OSI Transmission level 38

39 SW code and Configuration Parameters for HW Layers SW Code is generated for the upper layers Configuration parameters are generated for the layers implemented in HW, generally the four lower layers of the OSI reference model Physical layer: determines the number and length of wires connecting resources and switches. Unit of communication: electrical signal Data link layer: defines a protocol to transmit information between entities (switch, resource). It may include flow control and error correction. Unit : bits and words Network layer: defines how data are transmitted over the network from an sender to a receiver (routing algorithm) Unit : packet, flit Transport layer: establishes and maintains end-toend connections. Its performs packet segmentation and reassembly and ensure message ordering (Resource Network Interface). Unit : message switch switch Ressource RNI switch switch switch Ressource 39

40 Application complexity: n (wifi) scrambler Encoder parser FEC encoder FEC encoder Stream parser interleaving interleaving interleaving interleaving mapping mapping mapping mapping BPSK to 64-QAM STBC (option) CS CS CS Spatial mapping (option) IFFT IFFT IFFT IFFT CS CS CS Cyclic Shift GI Insertion + Windowing GI GI GI GI 1 to 4 Spatial Streams (SS) 1 to 4 Space-Time Streams (STS) Example of n encoding stream n 144 communications modes 2 potential coding streams, 1 to 4 antennas 1 to 4 spatial streams 1 to 4 space-time streams 40

41 Application Example Traffic (RX) RX Mem1 EPO OFDM MOD. ALAM. MOD.. CDMA MOD. MAPP. BIT INTER. RX Mem2 TURBO CODER RAM 1 CPU RAM 2 EXT RAM CTL Th. Max : 3.2 Gb/s Th. Max : 6.4 Gb/s 2.08 Gb/s ROTOR EQUAL. CHANNEL EST. CONV. DEC. ETHER NET 1.7 Gb/s 0.85 Gb/s 0.03 Gb/s FRAME SYNC. OFDM DEMOD. CDMA DEMOD. DE- MAP DE- INTER 41

42 System design for MPSoC/NoC [Leti08] SME (RAM) rotor rx_ofdmchan_est dmap SME (RAM) Platform XML model topology functions rotor rx_ofdm equal rx_fht Application Model Platform model SME (RAM) rotor rx_ofdm chan_est dmap SME (RAM) rotor rx_ofdm equal rx_fht Application Simulation Optimisation Debug SW Code and Configuration files SME (RAM) rotor rx_ofdmchan_est rotor rx_ofdm Semi-automatic Mapping End equal rx_fht dmap SME (RAM) SME rotor chan est rx ofdm equal dmap rx fht 42

43 Computing market Trends Outline Multiprocessor System on Chip: HW and SW Architectures Programming strategies: HW-SW interfaces abstraction SW Design Strategies for MPSoC: Gradual refinement Probing further, MPSoC with NoC Conclusions 43

44 Design Technologies: The Virtuous cycle New architectures require new design methods Mem SW Code / OS Interrupt micro-processor ADR Data e.g. programming z Mem DDR Analog New design methods implies new models and tools z IO HW Proc Proc Mem Mem Proc Proc Die 3 A B C Die 2 D Hardware I/O Host. Die 1 Abstract HW/SW Interface e.g. HW-SW Abstraction and Generation for MPSoC Using the new design tools and models allow the design of New Architectures z e.g. MPSoC with NoC. 44

45 Conclusions HW-SW interfaces MPSoC requires multiple SW stacks Application-specific hardware & software Programming strategies Abstract specific HW-SW architectures for a better match between HW & SW Different abstraction levels for early application SW & HDS validation Design strategies: Platform models and SW refinement at different abstraction levels Future trends: More Cores 45

46 Many thanks Marc Belleville, Didier Lattard, Jean René Lequeypes and Fabien Clermidy from LETI Prof F. Pétrot, Prof. F. Rousseau P. Gerin from TIMA K. Popovici, from Mathwork 46

47 Innovation for industry 47

48 Plan to join us on June at Minatec Crossroads For more information : 48

Hardware dependant Software design

Hardware dependant Software design 2008 2007 Hardware dependant Software design Ahmed A. Jerraya CEA-LETI Ahmed.jerraya@cea.fr 1 Outline 2007 Multiprocessor System on Chip: HW-SW Architectures HW/SW interfaces abstraction: Programming models

Plus en détail

Modélisation des interfaces matériel/logiciel

Modélisation des interfaces matériel/logiciel Modélisation des interfaces matériel/logiciel Présenté par Frédéric Pétrot Patrice Gerin Alexandre Chureau Hao Shen Aimen Bouchhima Ahmed Jerraya 1/28 TIMA Laboratory SLS Group 46 Avenue Félix VIALLET

Plus en détail

THÈSE. présentée à TÉLÉCOM PARISTECH. pour obtenir le grade de. DOCTEUR de TÉLÉCOM PARISTECH. Mention Informatique et Réseaux. par.

THÈSE. présentée à TÉLÉCOM PARISTECH. pour obtenir le grade de. DOCTEUR de TÉLÉCOM PARISTECH. Mention Informatique et Réseaux. par. École Doctorale d Informatique, Télécommunications et Électronique de Paris THÈSE présentée à TÉLÉCOM PARISTECH pour obtenir le grade de DOCTEUR de TÉLÉCOM PARISTECH Mention Informatique et Réseaux par

Plus en détail

INSTRUMENTS DE MESURE SOFTWARE. Management software for remote and/or local monitoring networks

INSTRUMENTS DE MESURE SOFTWARE. Management software for remote and/or local monitoring networks INSTRUMENTS DE MESURE SOFTWARE SOFTWARE Logiciel de supervision des réseaux locaux et/ou distants Management software for remote and/or local monitoring networks MIDAs EVO 4 niveaux de fonctionnalités

Plus en détail

Génération de code binaire pour application multimedia : une approche au vol

Génération de code binaire pour application multimedia : une approche au vol Génération de binaire pour application multimedia : une approche au vol http://hpbcg.org/ Henri-Pierre Charles Université de Versailles Saint-Quentin en Yvelines 3 Octobre 2009 Présentation Présentation

Plus en détail

Chapitre 1 : Introduction aux méthodologies de conception et de vérification pour SE

Chapitre 1 : Introduction aux méthodologies de conception et de vérification pour SE Chapitre 1 : Introduction aux méthodologies de conception et de vérification pour SE 1. Rappel de ce qu est un SE 2. Conception au niveau système (ESL) Méthodologie de conception (codesign logiciel/matériel)

Plus en détail

HAUTE DISPONIBILITÉ DE MACHINE VIRTUELLE AVEC HYPER-V 2012 R2 PARTIE CONFIGURATION OPENVPN SUR PFSENSE

HAUTE DISPONIBILITÉ DE MACHINE VIRTUELLE AVEC HYPER-V 2012 R2 PARTIE CONFIGURATION OPENVPN SUR PFSENSE HAUTE DISPONIBILITÉ DE MACHINE VIRTUELLE AVEC HYPER-V 2012 R2 PARTIE CONFIGURATION OPENVPN SUR PFSENSE Projet de semestre ITI soir 4ème année Résumé configuration OpenVpn sur pfsense 2.1 Etudiant :Tarek

Plus en détail

Paxton. ins-20605. Net2 desktop reader USB

Paxton. ins-20605. Net2 desktop reader USB Paxton ins-20605 Net2 desktop reader USB 1 3 2 4 1 2 Desktop Reader The desktop reader is designed to sit next to the PC. It is used for adding tokens to a Net2 system and also for identifying lost cards.

Plus en détail

Optimized Protocol Stack for Virtualized Converged Enhanced Ethernet

Optimized Protocol Stack for Virtualized Converged Enhanced Ethernet Diss. ETH No. 22127 Optimized Protocol Stack for Virtualized Converged Enhanced Ethernet A thesis submitted to attain the degree of DOCTOR OF SCIENCES of ETH ZURICH (Dr. sc. ETH Zurich) presented by Daniel

Plus en détail

Instructions Mozilla Thunderbird Page 1

Instructions Mozilla Thunderbird Page 1 Instructions Mozilla Thunderbird Page 1 Instructions Mozilla Thunderbird Ce manuel est écrit pour les utilisateurs qui font déjà configurer un compte de courrier électronique dans Mozilla Thunderbird et

Plus en détail

PACKZ System Requirements. Version: 2015-05-27. Version: 2015-05-27 Copyright 2015, PACKZ Software GmbH. 1

PACKZ System Requirements. Version: 2015-05-27. Version: 2015-05-27 Copyright 2015, PACKZ Software GmbH. 1 PACKZ System Requirements Version: 2015-05-27 Copyright 2015, PACKZ Software GmbH. All rights reserved.this manual may not be copied, photocopied, reproduced, translated, or converted to any electronic

Plus en détail

Utiliser une WebCam. Micro-ordinateurs, informations, idées, trucs et astuces

Utiliser une WebCam. Micro-ordinateurs, informations, idées, trucs et astuces Micro-ordinateurs, informations, idées, trucs et astuces Utiliser une WebCam Auteur : François CHAUSSON Date : 8 février 2008 Référence : utiliser une WebCam.doc Préambule Voici quelques informations utiles

Plus en détail

Les marchés Security La méthode The markets The approach

Les marchés Security La méthode The markets The approach Security Le Pôle italien de la sécurité Elsag Datamat, une société du Groupe Finmeccanica, représente le centre d excellence national pour la sécurité physique, logique et des réseaux de télécommunication.

Plus en détail

Anticiper et prédire les sinistres avec une approche Big Data

Anticiper et prédire les sinistres avec une approche Big Data Anticiper et prédire les sinistres avec une approche Big Data Julien Cabot Directeur Big Data Analytics OCTO jcabot@octo.com @julien_cabot OCTO 2013 50, avenue des Champs-Elysées 75008 Paris - FRANCE Tél

Plus en détail

MANAGEMENT SOFTWARE FOR STEEL CONSTRUCTION

MANAGEMENT SOFTWARE FOR STEEL CONSTRUCTION Ficep Group Company MANAGEMENT SOFTWARE FOR STEEL CONSTRUCTION KEEP ADVANCING " Reach your expectations " ABOUT US For 25 years, Steel Projects has developed software for the steel fabrication industry.

Plus en détail

CONTEC CO., LTD. Novembre 2010

CONTEC CO., LTD. Novembre 2010 La gamme CONTEC CONTEC CO., LTD. Novembre 2010 1 Agenda Introduction Data acquisition and control Data Communication Expansion chassis and accessory Distributed I/O and media converter Stainless steel

Plus en détail

LES APPROCHES CONCRÈTES POUR LE DÉPLOIEMENT D INFRASTRUCTURES CLOUD AVEC HDS & VMWARE

LES APPROCHES CONCRÈTES POUR LE DÉPLOIEMENT D INFRASTRUCTURES CLOUD AVEC HDS & VMWARE LES APPROCHES CONCRÈTES POUR LE DÉPLOIEMENT D INFRASTRUCTURES CLOUD AVEC HDS & VMWARE Sylvain SIOU VMware Laurent DELAISSE Hitachi Data Systems 1 Hitachi Data Systems Corporation 2012. All Rights Reserved

Plus en détail

AVOB sélectionné par Ovum

AVOB sélectionné par Ovum AVOB sélectionné par Ovum Sources : Ovum ovum.com «Selecting a PC Power Management Solution Vendor» L essentiel sur l étude Ovum AVOB sélectionné par Ovum 1 L entreprise britannique OVUM est un cabinet

Plus en détail

Une méthode de conception de systèmes sur puce

Une méthode de conception de systèmes sur puce École thématique ARCHI 05 Une méthode de conception de systèmes sur puce (de l intégration d applications) Frédéric PÉTROT Laboratoire TIMA Institut National Polytechnique de Grenoble Frédéric Pétrot/TIMA/INPG

Plus en détail

HSCS 6.4 : mieux appréhender la gestion du stockage en environnement VMware et service de fichiers HNAS Laurent Bartoletti Product Marketing Manager

HSCS 6.4 : mieux appréhender la gestion du stockage en environnement VMware et service de fichiers HNAS Laurent Bartoletti Product Marketing Manager HSCS 6.4 : mieux appréhender la gestion du stockage en environnement VMware et service de fichiers HNAS Laurent Bartoletti Product Marketing Manager Hitachi Storage Command Suite Portfolio SAN Assets &

Plus en détail

Tier 1 / Tier 2 relations: Are the roles changing?

Tier 1 / Tier 2 relations: Are the roles changing? Tier 1 / Tier 2 relations: Are the roles changing? Alexandre Loire A.L.F.A Project Manager July, 5th 2007 1. Changes to roles in customer/supplier relations a - Distribution Channels Activities End customer

Plus en détail

Software and Hardware Datasheet / Fiche technique du logiciel et du matériel

Software and Hardware Datasheet / Fiche technique du logiciel et du matériel Software and Hardware Datasheet / Fiche technique du logiciel et du matériel 1 System requirements Windows Windows 98, ME, 2000, XP, Vista 32/64, Seven 1 Ghz CPU 512 MB RAM 150 MB free disk space 1 CD

Plus en détail

IPv6: from experimentation to services

IPv6: from experimentation to services IPv6: from experimentation to services - Dominique Delisle France Telecom R&D Le présent document contient des informations qui sont la propriété de France Télécom. L'acceptation de ce document par son

Plus en détail

NIMBUS TRAINING. Administration de Citrix NetScaler 10. Déscription : Objectifs. Publics. Durée. Pré-requis. Programme de cette formation

NIMBUS TRAINING. Administration de Citrix NetScaler 10. Déscription : Objectifs. Publics. Durée. Pré-requis. Programme de cette formation Administration de Citrix NetScaler 10 Déscription : Cette formation aux concepts de base et avancés sur NetScaler 10 permet la mise en oeuvre, la configuration, la sécurisation, le contrôle, l optimisation

Plus en détail

Potentiels de la technologie FPGA dans la conception des systèmes. Avantages des FPGAs pour la conception de systèmes optimisés

Potentiels de la technologie FPGA dans la conception des systèmes. Avantages des FPGAs pour la conception de systèmes optimisés Potentiels de la technologie FPGA dans la conception des systèmes Avantages des FPGAs pour la conception de systèmes optimisés Gérard FLORENCE Lotfi Guedria Agenda 1. Le CETIC en quelques mots 2. Générateur

Plus en détail

Outils d'analyse de la sécurité des réseaux. HADJALI Anis VESA Vlad

Outils d'analyse de la sécurité des réseaux. HADJALI Anis VESA Vlad Outils d'analyse de la sécurité des réseaux HADJALI Anis VESA Vlad Plan Introduction Scanneurs de port Les systèmes de détection d'intrusion (SDI) Les renifleurs (sniffer) Exemples d'utilisation Conclusions

Plus en détail

A. Elmrabti. To cite this version: HAL Id: tel-00568999 https://tel.archives-ouvertes.fr/tel-00568999

A. Elmrabti. To cite this version: HAL Id: tel-00568999 https://tel.archives-ouvertes.fr/tel-00568999 Méthodes et outils de génération de code pour les plateformes multi-cœurs fondés sur la représentation de haut niveau des applications et des architectures A. Elmrabti To cite this version: A. Elmrabti.

Plus en détail

Développement logiciel pour le Cloud (TLC)

Développement logiciel pour le Cloud (TLC) Table of Contents Développement logiciel pour le Cloud (TLC) 6. Infrastructure-as-a-Service Guillaume Pierre 1 Introduction 2 OpenStack Université de Rennes 1 Fall 2012 http://www.globule.org/~gpierre/

Plus en détail

Cedric Dumoulin (C) The Java EE 7 Tutorial http://docs.oracle.com/javaee/7/tutorial/doc/

Cedric Dumoulin (C) The Java EE 7 Tutorial http://docs.oracle.com/javaee/7/tutorial/doc/ Cedric Dumoulin (C) The Java EE 7 Tutorial http://docs.oracle.com/javaee/7/tutorial/doc/ Webographie The Java EE 7 Tutorial http://docs.oracle.com/javaee/7/tutorial/doc/ Les slides de cette présentation

Plus en détail

VMware : De la Virtualisation. au Cloud Computing

VMware : De la Virtualisation. au Cloud Computing VMware : De la Virtualisation. au Cloud Computing Tunis, le 12 Décembre 2012 Jamal Belhachemi BDM South EMEA 2010 VMware, Inc. Tous droits réservés. 2010 #1 dans les priorités des Directeurs Informatiques

Plus en détail

Vers du matériel libre

Vers du matériel libre Février 2011 La liberté du logiciel n est qu une partie du problème. Winmodems Modem traditionnel Bon fonctionnement Plus cher Electronique propriétaire Blob sur DSP intégré au modem Bien reçu par les

Plus en détail

Quick Start Guide This guide is intended to get you started with Rational ClearCase or Rational ClearCase MultiSite.

Quick Start Guide This guide is intended to get you started with Rational ClearCase or Rational ClearCase MultiSite. Rational ClearCase or ClearCase MultiSite Version 7.0.1 Quick Start Guide This guide is intended to get you started with Rational ClearCase or Rational ClearCase MultiSite. Product Overview IBM Rational

Plus en détail

Forthcoming Database

Forthcoming Database DISS.ETH NO. 15802 Forthcoming Database A Framework Approach for Data Visualization Applications A dissertation submitted to the SWISS FEDERAL INSTITUTE OF TECHNOLOGY ZURICH for the degree of Doctor of

Plus en détail

Synergies entre Artisan Studio et outils PLM

Synergies entre Artisan Studio et outils PLM SysML France 13 Novembre 2012 William Boyer-Vidal Regional Sales Manager Southern Europe Synergies entre Artisan Studio et outils PLM 2012 2012 Atego. Atego. 1 Challenges & Tendances Complexité des produits

Plus en détail

COMPUTING. Jeudi 23 juin 2011 1 CLOUD COMPUTING I PRESENTATION

COMPUTING. Jeudi 23 juin 2011 1 CLOUD COMPUTING I PRESENTATION C L O U D COMPUTING Jeudi 23 juin 2011 1 2 Une nouvelle révolution de l IT 2010+ Cloud Computing 2000s Service Oriented Archi. 1990s Network Computing 1980s Personal Computing 1970s Mainframe Computing

Plus en détail

Comprendre l impact de l utilisation des réseaux sociaux en entreprise SYNTHESE DES RESULTATS : EUROPE ET FRANCE

Comprendre l impact de l utilisation des réseaux sociaux en entreprise SYNTHESE DES RESULTATS : EUROPE ET FRANCE Comprendre l impact de l utilisation des réseaux sociaux en entreprise SYNTHESE DES RESULTATS : EUROPE ET FRANCE 1 Objectifs de l étude Comprendre l impact des réseaux sociaux externes ( Facebook, LinkedIn,

Plus en détail

Mise en place d un système de cabotage maritime au sud ouest de l Ocean Indien. 10 Septembre 2012

Mise en place d un système de cabotage maritime au sud ouest de l Ocean Indien. 10 Septembre 2012 Mise en place d un système de cabotage maritime au sud ouest de l Ocean Indien 10 Septembre 2012 Les défis de la chaine de la logistique du transport maritime Danielle T.Y WONG Director Logistics Performance

Plus en détail

Containers : Outils magiques pour les Devops? OpenNebula et son écosystème pour une infrastructure cloud agile

Containers : Outils magiques pour les Devops? OpenNebula et son écosystème pour une infrastructure cloud agile Containers : Outils magiques pour les Devops? OpenNebula et son écosystème pour une infrastructure cloud agile AGENDA TAS Group REX : OpenNebula Questions TAS GROUP Software company and system integrator

Plus en détail

XtremWeb-HEP 8.0.0. Interconnecting jobs over DG. Virtualization over DG. Oleg Lodygensky Laboratoire de l Accélérateur Linéaire

XtremWeb-HEP 8.0.0. Interconnecting jobs over DG. Virtualization over DG. Oleg Lodygensky Laboratoire de l Accélérateur Linéaire XtremWeb-HEP 8.0.0 Interconnecting jobs over DG Virtualization over DG Oleg Lodygensky Objectives 1.Deploy Virtual Machines in XtremWeb-HEP desktop grid to: protect volunteer resources generalize «pilot

Plus en détail

I>~I.J 4j1.bJ1UlJ ~..;W:i 1U

I>~I.J 4j1.bJ1UlJ ~..;W:i 1U ~I ~~I ~ ~WI~I ~WI ~~'~.M ~ o~wj' js'~' ~ ~JA1ol..;l.:w I>~I.J 4j1.bJ1UlJ ~..;W:i 1U Exercice 1: Le modele TCP/IP est traditionnellement considere comme une pile de 5 couches. Pour chaque couche, expliquer

Plus en détail

Génie logiciel. Systèmes et sous-systèmes. Modèliser des grands systèmes. Problématique. SS S-Syst1 SS S-Syst2 SS S-Syst3. Système.

Génie logiciel. Systèmes et sous-systèmes. Modèliser des grands systèmes. Problématique. SS S-Syst1 SS S-Syst2 SS S-Syst3. Système. Génie logiciel Modèliser des grands systèmes Philippe Dugerdil 07.10.2009 Problème: Problématique Maîtrise de la fonctionnalité globale Modélisation détaillée Modélisation à plusieurs niveaux Système (superordinate

Plus en détail

Completed Projects / Projets terminés

Completed Projects / Projets terminés Completed Projects / Projets terminés Nouvelles normes Nouvelles éditions Publications spéciales publiées en français CAN/CSA-ISO/CEI 7498-1-95 (C2004), 1 re édition Technologies de l'information Interconnexion

Plus en détail

Services à la recherche: Data Management et HPC *

Services à la recherche: Data Management et HPC * Services à la recherche: Data Management et HPC * Pierre-Yves Burgi et Jean-François Rossignol Division informatique (DINF) * HPC = High-Performance Computing Réunion CIF Sciences du 6.12.11 1/19 Contenu

Plus en détail

Internet Group Management Protocol (IGMP) Multicast Listener Discovery ( MLD ) RFC 2710 (MLD version 1) RFC 3810 (MLD version 2)

Internet Group Management Protocol (IGMP) Multicast Listener Discovery ( MLD ) RFC 2710 (MLD version 1) RFC 3810 (MLD version 2) Internet Group Management Protocol (IGMP) Multicast Listener Discovery ( MLD ) RFC 2710 (MLD version 1) RFC 3810 (MLD version 2) multicast applications Site NREN MLD / IGMP IGMP v2 : generality Interaction

Plus en détail

Instructions pour mettre à jour un HFFv2 v1.x.yy v2.0.00

Instructions pour mettre à jour un HFFv2 v1.x.yy v2.0.00 Instructions pour mettre à jour un HFFv2 v1.x.yy v2.0.00 HFFv2 1. OBJET L accroissement de la taille de code sur la version 2.0.00 a nécessité une évolution du mapping de la flash. La conséquence de ce

Plus en détail

Compilation (INF 564)

Compilation (INF 564) Présentation du cours Le processeur MIPS Programmation du MIPS 1 Compilation (INF 564) Introduction & architecture MIPS François Pottier 10 décembre 2014 Présentation du cours Le processeur MIPS Programmation

Plus en détail

SensOrLabs. a protocol validation platform for the IoT. Dominique Barthel, Quentin Lampin IMT/OLPS/BIZZ/MIS Apr 7th 2014, ST, CEA, LIG

SensOrLabs. a protocol validation platform for the IoT. Dominique Barthel, Quentin Lampin IMT/OLPS/BIZZ/MIS Apr 7th 2014, ST, CEA, LIG SensOrLabs a protocol validation platform for the IoT Dominique Barthel, Quentin Lampin IMT/OLPS/BIZZ/MIS Apr 7th 2014, ST, CEA, LIG SensOrLabs inspired by the ANR Senslab project http://www.senslab.info/

Plus en détail

ISTIA INNOVATION. 62, Ave ND du Lac F 49000 Angers www.istia.univ-angers.fr

ISTIA INNOVATION. 62, Ave ND du Lac F 49000 Angers www.istia.univ-angers.fr ISTIA INNOVATION 62, Ave ND du Lac F 49000 Angers www.istia.univ-angers.fr DESS ITIHM RV 02/03 Geoffrey SUBILEAU Ecole des Mines de Nantes Projet européen «REPOSIT» Développement d un environnement virtuel

Plus en détail

Chapitre 1. Infrastructures distribuées : cluster, grilles et cloud. Grid and Cloud Computing

Chapitre 1. Infrastructures distribuées : cluster, grilles et cloud. Grid and Cloud Computing Chapitre 1. Infrastructures distribuées : cluster, grilles et cloud Grid and Cloud Computing Problématique Besoins de calcul croissants Simulations d'expériences coûteuses ou dangereuses Résolution de

Plus en détail

Guide d'installation rapide TFM-560X YO.13

Guide d'installation rapide TFM-560X YO.13 Guide d'installation rapide TFM-560X YO.13 Table of Contents Français 1 1. Avant de commencer 1 2. Procéder à l'installation 2 Troubleshooting 6 Version 06.08.2011 16. Select Install the software automatically

Plus en détail

Face Recognition Performance: Man vs. Machine

Face Recognition Performance: Man vs. Machine 1 Face Recognition Performance: Man vs. Machine Andy Adler Systems and Computer Engineering Carleton University, Ottawa, Canada Are these the same person? 2 3 Same person? Yes I have just demonstrated

Plus en détail

Une réelle solution HA Continuité en toute situation!

Une réelle solution HA Continuité en toute situation! Une réelle solution HA Continuité en toute situation! HIGH AVAILABILITY & CONTINUITY TRADER S & QSL Group Créée en 1992 Siège à Paris - France 2010: 40 personnes TO: 25M US$ 1996: Doc. Mgt Quick-PRESS

Plus en détail

Editing and managing Systems engineering processes at Snecma

Editing and managing Systems engineering processes at Snecma Editing and managing Systems engineering processes at Snecma Atego workshop 2014-04-03 Ce document et les informations qu il contient sont la propriété de Ils ne doivent pas être copiés ni communiqués

Plus en détail

EXALOGIC ELASTIC CLOUD MANAGEMENT

EXALOGIC ELASTIC CLOUD MANAGEMENT EXALOGIC ELASTIC CLOUD MANAGEMENT Jean-Marc Digne Ingénieur Avant Vente Oracle France 1 The following is intended to outline our general product direction. It is intended for information purposes only,

Plus en détail

REMOTE DATA ACQUISITION OF EMBEDDED SYSTEMS USING INTERNET TECHNOLOGIES: A ROLE-BASED GENERIC SYSTEM SPECIFICATION

REMOTE DATA ACQUISITION OF EMBEDDED SYSTEMS USING INTERNET TECHNOLOGIES: A ROLE-BASED GENERIC SYSTEM SPECIFICATION REMOTE DATA ACQUISITION OF EMBEDDED SYSTEMS USING INTERNET TECHNOLOGIES: A ROLE-BASED GENERIC SYSTEM SPECIFICATION THÈSE N O 2388 (2001) PRÉSENTÉE AU DÉPARTEMENT D'INFORMATIQUE ÉCOLE POLYTECHNIQUE FÉDÉRALE

Plus en détail

Quatre axes au service de la performance et des mutations Four lines serve the performance and changes

Quatre axes au service de la performance et des mutations Four lines serve the performance and changes Le Centre d Innovation des Technologies sans Contact-EuraRFID (CITC EuraRFID) est un acteur clé en matière de l Internet des Objets et de l Intelligence Ambiante. C est un centre de ressources, d expérimentations

Plus en détail

Discours du Ministre Tassarajen Pillay Chedumbrum. Ministre des Technologies de l'information et de la Communication (TIC) Worshop on Dot.

Discours du Ministre Tassarajen Pillay Chedumbrum. Ministre des Technologies de l'information et de la Communication (TIC) Worshop on Dot. Discours du Ministre Tassarajen Pillay Chedumbrum Ministre des Technologies de l'information et de la Communication (TIC) Worshop on Dot.Mu Date: Jeudi 12 Avril 2012 L heure: 9h15 Venue: Conference Room,

Plus en détail

Revision of hen1317-5: Technical improvements

Revision of hen1317-5: Technical improvements Revision of hen1317-5: Technical improvements Luca Felappi Franz M. Müller Project Leader Road Safety Consultant AC&CS-CRM Group GDTech S.A., Liège Science Park, rue des Chasseurs-Ardennais, 7, B-4031

Plus en détail

Thème 3 Conception et vérification d architectures de systèmes sur puce

Thème 3 Conception et vérification d architectures de systèmes sur puce Thème 3 Conception et vérification d architectures de systèmes sur puce Conception et simulation Frédéric Pétrot Vérification Laurence Pierre Conception et vérification d architectures de systèmes sur

Plus en détail

Gouvernance et nouvelles règles d organisation

Gouvernance et nouvelles règles d organisation Gouvernance et nouvelles règles d organisation Didier Camous Strategy & Technology HP Software EMEA Copyright 2012 Hewlett-Packard Development Company, L.P. The information contained herein is subject

Plus en détail

Orchestrer son cloud OpenStack avec Heat

Orchestrer son cloud OpenStack avec Heat Orchestrer son cloud OpenStack avec Heat Adrien Cunin adrien.cunin@osones.com Osones 7 juillet 2014 Adrien Cunin (Osones) Orchestrer son cloud OpenStack avec Heat 7 juillet 2014 1 / 43 Adrien Cunin (Osones)

Plus en détail

Optimiser votre reporting sans déployer BW

Optimiser votre reporting sans déployer BW Optimiser votre reporting sans déployer BW Exploiter nos données opérationnelles Peut-on faire du reporting directement sur ECC sans datawarehouse? Agenda La suite BusinessObjects intégrée à ECC 3 scénarios

Plus en détail

Stratégie DataCenters Société Générale Enjeux, objectifs et rôle d un partenaire comme Data4

Stratégie DataCenters Société Générale Enjeux, objectifs et rôle d un partenaire comme Data4 Stratégie DataCenters Société Générale Enjeux, objectifs et rôle d un partenaire comme Data4 Stéphane MARCHINI Responsable Global des services DataCenters Espace Grande Arche Paris La Défense SG figures

Plus en détail

physicien diplômé EPFZ originaire de France présentée acceptée sur proposition Thèse no. 7178

physicien diplômé EPFZ originaire de France présentée acceptée sur proposition Thèse no. 7178 Thèse no. 7178 PROBLEMES D'OPTIMISATION DANS LES SYSTEMES DE CHAUFFAGE A DISTANCE présentée à l'ecole POLYTECHNIQUE FEDERALE DE ZURICH pour l'obtention du titre de Docteur es sciences naturelles par Alain

Plus en détail

Prérequis réseau constructeurs

Prérequis réseau constructeurs Prérequis réseau constructeurs - Guide de configuration du réseau Page 2 - Ports utilisés - Configuration requise - OS et navigateurs supportés Page 4 Page 7 Page 8 Guide de configuration du réseau NB:

Plus en détail

SCC / QUANTUM Kickoff 2015 Data Protection Best Practices

SCC / QUANTUM Kickoff 2015 Data Protection Best Practices SCC / QUANTUM Kickoff 2015 Data Protection Best Practices Stéphane Estevez QUANTUM Senior Product Marketing Manager EMEA Luc Vandergooten SCC Responsable Technique Data Protection Vers de nouveaux horizons

Plus en détail

Information and Communication Networks. NGN VoIP

Information and Communication Networks. NGN VoIP Information and Communication Networks NGN VoIP Agenda VoIP: les motivations VoIP dans le Backbone voix et données Evolution du RTC en NGN VoIP VoIP dans les réseaux d accès Résumé, Conclusions 8/19/2010

Plus en détail

Frequently Asked Questions

Frequently Asked Questions GS1 Canada-1WorldSync Partnership Frequently Asked Questions 1. What is the nature of the GS1 Canada-1WorldSync partnership? GS1 Canada has entered into a partnership agreement with 1WorldSync for the

Plus en détail

Représenté par Eric Mamy A22 www.a22.fr présenté par CFR & CGL Consulting www.cgl-consulting.com

Représenté par Eric Mamy A22 www.a22.fr présenté par CFR & CGL Consulting www.cgl-consulting.com Représenté par Eric Mamy A22 www.a22.fr présenté par CFR & CGL Consulting www.cgl-consulting.com La Suite LOGIX La Suite LOGIX est un ensemble de produits pour le calcul et l optimisation complète des

Plus en détail

Application Form/ Formulaire de demande

Application Form/ Formulaire de demande Application Form/ Formulaire de demande Ecosystem Approaches to Health: Summer Workshop and Field school Approches écosystémiques de la santé: Atelier intensif et stage d été Please submit your application

Plus en détail

SERVEUR DÉDIÉ DOCUMENTATION

SERVEUR DÉDIÉ DOCUMENTATION SERVEUR DÉDIÉ DOCUMENTATION Release 5.0.6.0 19 Juillet 2013 Copyright 2013 GIANTS Software GmbH, All Rights Reserved. 1/9 CHANGE LOG Correction de bug divers (5.0.6.0) Ajout d une option de relance automatique

Plus en détail

Contrôle d'accès Access control. Notice technique / Technical Manual

Contrôle d'accès Access control. Notice technique / Technical Manual p.1/18 Contrôle d'accès Access control INFX V2-AI Notice technique / Technical Manual p.2/18 Sommaire / Contents Remerciements... 3 Informations et recommandations... 4 Caractéristiques techniques... 5

Plus en détail

APPENDIX 6 BONUS RING FORMAT

APPENDIX 6 BONUS RING FORMAT #4 EN FRANÇAIS CI-DESSOUS Preamble and Justification This motion is being presented to the membership as an alternative format for clubs to use to encourage increased entries, both in areas where the exhibitor

Plus en détail

WEB page builder and server for SCADA applications usable from a WEB navigator

WEB page builder and server for SCADA applications usable from a WEB navigator Générateur de pages WEB et serveur pour supervision accessible à partir d un navigateur WEB WEB page builder and server for SCADA applications usable from a WEB navigator opyright 2007 IRAI Manual Manuel

Plus en détail

Architecture client riche Evolution ou révolution? Thomas Coustenoble IBM Lotus Market Manager

Architecture client riche Evolution ou révolution? Thomas Coustenoble IBM Lotus Market Manager Architecture client riche Evolution ou révolution? Thomas Coustenoble IBM Lotus Market Manager IBM Workplace : permettre aux personnes de communiquer, de partager l information, quel que soit le terminal

Plus en détail

Tarification et optimisation pour le marketing

Tarification et optimisation pour le marketing Tarification et optimisation pour le marketing Orange Labs Matthieu Chardy, Recherche & Développement 10 avril 2009 séminaire à l'ecole des Mines de Nantes agenda partie 1 économie des télécommunicationsl

Plus en détail

Plateforme Technologique Innovante. Innovation Center for equipment& materials

Plateforme Technologique Innovante. Innovation Center for equipment& materials Plateforme Technologique Innovante Innovation Center for equipment& materials Le Besoin Centre indépendant d évaluation des nouveaux produits, procédés et services liés à la fabrication des Micro-Nanotechnologies

Plus en détail

Exemple PLS avec SAS

Exemple PLS avec SAS Exemple PLS avec SAS This example, from Umetrics (1995), demonstrates different ways to examine a PLS model. The data come from the field of drug discovery. New drugs are developed from chemicals that

Plus en détail

NOM ENTREPRISE. Document : Plan Qualité Spécifique du Projet / Project Specific Quality Plan

NOM ENTREPRISE. Document : Plan Qualité Spécifique du Projet / Project Specific Quality Plan Document : Plan Qualité Spécifique du Projet Project Specific Quality Plan Référence Reference : QP-3130-Rev 01 Date Date : 12022008 Nombre de Pages Number of Pages : 6 Projet Project : JR 100 Rédacteur

Plus en détail

Les solutions National Instruments pour le Model In-The-Loop (MIL) et le prototypage rapide (RCP)

Les solutions National Instruments pour le Model In-The-Loop (MIL) et le prototypage rapide (RCP) Les solutions National Instruments pour le Model In-The-Loop (MIL) et le prototypage rapide (RCP) Karine Rouelle Business Development Manager Real-Time Testing Au programme Mise en œuvre du Model-In-the-Loop

Plus en détail

Séminaire Partenaires Esri France 6 et 7 juin 2012 Paris. ArcGIS et le Cloud. Gaëtan LAVENU

Séminaire Partenaires Esri France 6 et 7 juin 2012 Paris. ArcGIS et le Cloud. Gaëtan LAVENU Séminaire Partenaires Esri France 6 et 7 juin 2012 Paris ArcGIS et le Cloud Gaëtan LAVENU Agenda Qu'attendent nos clients du Cloud Computing? Les solutions de Cloud ArcGIS dans le Cloud Quelles attendent

Plus en détail

ÉCOLE POLYTECHNIQUE DE MONTRÉAL. Département de Génie Électrique. La technologie de TEXAS INSTRUMENTS DSP pour le cours Analyse des Signaux ELE2700

ÉCOLE POLYTECHNIQUE DE MONTRÉAL. Département de Génie Électrique. La technologie de TEXAS INSTRUMENTS DSP pour le cours Analyse des Signaux ELE2700 ÉCOLE POLYTECHNIQUE DE MONTRÉAL Département de Génie Électrique La technologie de TEXAS INSTRUMENTS DSP pour le cours Analyse des Signaux ELE2700 M. Corinthios et Zaher Dannawi 29 août 2007 2 Tables des

Plus en détail

We Generate. You Lead.

We Generate. You Lead. www.contact-2-lead.com We Generate. You Lead. PROMOTE CONTACT 2 LEAD 1, Place de la Libération, 73000 Chambéry, France. 17/F i3 Building Asiatown, IT Park, Apas, Cebu City 6000, Philippines. HOW WE CAN

Plus en détail

Les Grandes Tendances d Investissement Informatique en 2011/ 2012. Rachel Hunt

Les Grandes Tendances d Investissement Informatique en 2011/ 2012. Rachel Hunt Les Grandes Tendances d Investissement Informatique en 2011/ 2012 Rachel Hunt Un retour a la croissance pour l investissement informatique Croissance de 3 a 5% en 2011/12 La croissance est tirée par les

Plus en détail

Projet de réorganisation des activités de T-Systems France

Projet de réorganisation des activités de T-Systems France Informations aux medias Saint-Denis, France, 13 Février 2013 Projet de réorganisation des activités de T-Systems France T-Systems France a présenté à ses instances représentatives du personnel un projet

Plus en détail

La gestion des flux de trafic aérien en Europe : état de l art, problèmes et perspectives

La gestion des flux de trafic aérien en Europe : état de l art, problèmes et perspectives La gestion des flux de trafic aérien en Europe : état de l art, problèmes et perspectives Marc Bisiaux Contexte: La gestion des flux aériens et des capacités de trafic aujourd hui. Le trafic aérien en

Plus en détail

FOURNIR UN SERVICE DE BASE DE DONNÉES FLEXIBLE. Database as a Service (DBaaS)

FOURNIR UN SERVICE DE BASE DE DONNÉES FLEXIBLE. Database as a Service (DBaaS) FOURNIR UN SERVICE DE BASE DE DONNÉES FLEXIBLE Database as a Service (DBaaS) 1 The following is intended to outline our general product direction. It is intended for information purposes only, and may

Plus en détail

Un exemple de cloud au LUPM : Stratuslab

Un exemple de cloud au LUPM : Stratuslab Un exemple de cloud au LUPM : Stratuslab Plan de la présentation Le cloud : une idée nouvelle? La boîte à outils du cloud Les différents types de cloud (Iaas, Paas, Saas) Présentation de Stratuslab Démonstration

Plus en détail

Chrome for Work. CRESTEL - 4 décembre 2014

Chrome for Work. CRESTEL - 4 décembre 2014 Chrome for Work CRESTEL - 4 décembre 2014 Connect Visualize Build Find Access 10 applications Web 3 appareils moyenne par employé Source : Forrester & Cisco. Accès sécurisé aux outils et aux données de

Plus en détail

IRL : Simulation distribuée pour les systèmes embarqués

IRL : Simulation distribuée pour les systèmes embarqués IRL : Simulation distribuée pour les systèmes embarqués Yassine El Khadiri, 2 ème année Ensimag, Grenoble INP Matthieu Moy, Verimag Denis Becker, Verimag 19 mai 2015 1 Table des matières 1 MPI et la sérialisation

Plus en détail

Séminaire RGE REIMS 17 février 2011

Séminaire RGE REIMS 17 février 2011 Séminaire RGE REIMS 17 février 2011 ADACSYS Présentation des FPGA Agenda Spécificité et différences par rapport aux autres accélérateurs Nos atouts Applications Approche innovante Document confidentiel

Plus en détail

PIB : Définition : mesure de l activité économique réalisée à l échelle d une nation sur une période donnée.

PIB : Définition : mesure de l activité économique réalisée à l échelle d une nation sur une période donnée. PIB : Définition : mesure de l activité économique réalisée à l échelle d une nation sur une période donnée. Il y a trois approches possibles du produit intérieur brut : Optique de la production Optique

Plus en détail

MAC-TC: programmation d un plate forme DSP-FPGA

MAC-TC: programmation d un plate forme DSP-FPGA MAC-TC: programmation d un plate forme DSP-FPGA Tanguy Risset avec l aide de: Nicolas Fournel, Antoine Fraboulet, Claire Goursaud, Arnaud Tisserand - p. 1/17 Plan Partie 1: le système Lyrtech Introduction

Plus en détail

Infrastructure technique de géodonnées. Technische Geodateninfrastruktur. Cédric Moullet Forum e-geo.ch, 15. November 2013

Infrastructure technique de géodonnées. Technische Geodateninfrastruktur. Cédric Moullet Forum e-geo.ch, 15. November 2013 Infrastructure technique de géodonnées Technische Geodateninfrastruktur Cédric Moullet Forum e-geo.ch, 15. November 2013 Agenda Introduction / Einführung Cloud Computing IDG actuelle / Aktuelles GDI Discussion

Plus en détail

WiFi Security Camera Quick Start Guide. Guide de départ rapide Caméra de surveillance Wi-Fi (P5)

WiFi Security Camera Quick Start Guide. Guide de départ rapide Caméra de surveillance Wi-Fi (P5) #45 #46 WiFi Security Camera Quick Start Guide Guide de départ rapide Caméra de surveillance Wi-Fi (P5) #47 Start Here 1 Is this you? TECH SUPPORT CTRL ALT DEL 2 If yes, turn to page three 1 3 If not,

Plus en détail

ORACLE PAAS CLOUD MANAGEMENT

ORACLE PAAS CLOUD MANAGEMENT ORACLE PAAS CLOUD MANAGEMENT Jean-Marc Digne Ingénieur Avant-Vente Oracle France 1 The following is intended to outline our general product direction. It is intended for information purposes only, and

Plus en détail

affichage en français Nom de l'employeur *: Lions Village of Greater Edmonton Society

affichage en français Nom de l'employeur *: Lions Village of Greater Edmonton Society LIONS VILLAGE of Greater Edmonton Society affichage en français Informations sur l'employeur Nom de l'employeur *: Lions Village of Greater Edmonton Society Secteur d'activité de l'employeur *: Développement

Plus en détail

4. Outils pour la synchronisation F. Boyer, Laboratoire Lig Fabienne.Boyer@imag.fr

4. Outils pour la synchronisation F. Boyer, Laboratoire Lig Fabienne.Boyer@imag.fr 4. Outils pour la synchronisation F. Boyer, Laboratoire Lig Fabienne.Boyer@imag.fr Le problème Insuffisance des solutions de base (verrous) Les solutions de plus haut niveau Les Sémaphores Les Moniteurs

Plus en détail

Toni Lazazzera toni.lazazzera@tmanco.com. Tmanco is expert partner from Anatole (www.anatole.net) and distributes the solution AnatoleTEM

Toni Lazazzera toni.lazazzera@tmanco.com. Tmanco is expert partner from Anatole (www.anatole.net) and distributes the solution AnatoleTEM T e l e c o m m a n a g e m e n t c o m p e t e n c e Toni Lazazzera toni.lazazzera@tmanco.com Tmanco SA CH 6807 Taverne www.tmanco.com +41 91 930 96 63 Reduce your telecom invoices up to 30% through better

Plus en détail

AUDIT COMMITTEE: TERMS OF REFERENCE

AUDIT COMMITTEE: TERMS OF REFERENCE AUDIT COMMITTEE: TERMS OF REFERENCE PURPOSE The Audit Committee (the Committee), assists the Board of Trustees to fulfill its oversight responsibilities to the Crown, as shareholder, for the following

Plus en détail