PATRIE Thomas. Projet conception de Semestre S3

Dimension: px
Commencer à balayer dès la page:

Download "PATRIE Thomas. Projet conception de Semestre S3"

Transcription

1 PATRIE Thomas CARLIER Julien E2 Groupe A23 Projet conception de circuits Le jeu de la souris 2006 Semestre S3

2

3 Sommaire PRÉSENTATION LE PROTOCOLE PS2...4 PÉRIPHÉRIQUE VERS SYSTÈME MAÎTRE...5 SYSTÈME MAÎTRE VERS PÉRIPHÉRIQUE GÉNÉRALITÉ SUR LA SOURIS PS2...6 II. RÉALISATION CAHIER DES CHARGES MÉTHODOLOGIE ARCHITECTURE DÉTAILS DE CODAGE SIMULATIONS TEST_DEPLACEMENT : A CHAQUE NOUVELLE TRAME REÇUE, TEST_DEPLACEMENT FAIT LA SOMME DU DÉPLACEMENT INSTANTANÉ ET DU DÉPLACEMENT GLOBAL PRÉCÉDENT. UNE DÉTECTION DU SIGNE DU DÉPLACEMENT GLOBAL PERMET DE DONNER LA DIRECTION DE CELUI-CI III. CONCLUSION...19

4 Présentation 1.Le protocole PS2 1Le protocole PS/2 permet une communication synchrone, bidirectionnelle et bits en série entre un système et un périphérique (couramment clavier, souris ou touchpad de portable). Chaque coté est susceptible d envoyer des commandes ou des données mais un seul coté ne pourra transmettre à la fois puisque la liaison se fait sur un seul fil. C est un connecteur mini-din-6 qui comporte 6 broches incluant masse, alimentation, la donnée série DATA et l horloge CLK. Deux broches sont inutilisées. Figure 1 : vue du connecteur mâle PS/2 C est le système maître qui alimente le périphérique PS/2. Les signaux DATA et CLK sont bidirectionnels et à sortie collecteur ouvert. Une résistance de 10K ohm environ doivent être placées entre ces deux signaux et l alimentation ce qui garantie un niveau haut hors de toute transaction. Le système maître est susceptible d envoyer au périphérique (ici la souris) des ordres de commande (tableau 1) mais à un autre moment c est le périphérique (ici la souris) qui va émettre des messages (tableau 2) ou retourner des données. Les octets de commandes et messages sont transmis de façon synchrone et série sur 11 /12bits (les 8 bits à transmettre, LSB en premier, précédés d un bit start ( 0 ) et suivi d un bit de parité et d un bit stop ( 1 ). Le bit de parité impaire vaut 1 si le nombre total de 1 dans l octet et le bit de parité lui-même est impair. PATRIE Thomas/CARLIER Julien Page 4 dd/11/yyyy

5 Durant la transmission, c est le périphérique qui fournit l horloge en la positionnant à un niveau bas (front descendant) tandis que l émetteur (système ou périphérique) place data à un niveau bas pour un bit 0 ou le laisse inactif pour un bit 1. La fréquence d horloge est au maximum de 33 khz mais le plus couramment de 15 khz. Entre les transmissions, le bus peut être : Idle : CLK et DATA sont tous les deux au niveau haut. Il n y a pas d activité. Inhibit : Le système maintient CLK au niveau bas Request to send : Le maître maintient DATA au niveau bas mais laisse CLK flotter. Il est prêt à émettre. Périphérique vers système maître Il peut transmettre un octet vers le système à condition que le bus soit préalablement «idle». L octet est transmis sous forme série avec l horloge (impulsions négatives). Le périphérique change les données sur front montant alors que le système est censé les lire pendant que CLK est à 0 (ou sur le front descendant). Figure 2 : transmission de la donnée F4 L ordre de grandeur de la période d horloge CLK est de 60 à 100 µs. Système maître vers périphérique Le système maître indique qu il souhaite émettre une commande en maintenant CLK au niveau bas pendant au moins 100 µs, puis forçant DATA au niveau bas (état du bus Request to send) tout en libérant CLK. Dès que le périphérique reconnaît (en moins de10 ms) ce signal bas, il va émettre son horloge et échantillonner la donnée. Le système est censé changer les données tant que CLK est au niveau bas (sur front descendant) tandis que le périphérique échantillonne chaque bit pendant que clk est haut (donc sur front montant). Figure 3 : transmission de la commande F4 PATRIE Thomas/CARLIER Julien Page 5 dd/11/yyyy

6 Après la reconnaissance du bit stop, le périphérique force DATA à 0 et fournie une impulsion d horloge supplémentaire. Ceci permet éventuellement au maître d inhiber l horloge tant qu il n est pas prêt à émettre de nouveau. L ordre de grandeur de la période d horloge CLK est de 60 à 100 µs. 2.Généralité sur la souris PS2 a.principe de fonctionnement La souris mécanique contient une boule qui roule sur deux axes de roues. Ces roues sont en fait des codeurs optiques qui traduisent les mouvements en X ou en Y en nombres par comptage d impulsions. La souris fonctionne par défaut avec une résolution de 200 CPI (Counts Per Inch), ce qui correspond à un pas de 125 µm environ. La souris contient aussi 2 ou 3 boutons poussoirs. L ensemble est géré par un microcontrôleur interne qui, outre la transmission des déplacements X (gauche/droite) et Y (bas/haut) et de l état des boutons, est aussi capable d interpréter des commandes ou de transmettre des messages. Dans les échanges, c est toujours la souris qui fournie l horloge CLK. Le maître peut cependant, s il le désire inhiber cette horloge en maintenant son niveau à bas. b.fonctionnement courant Il convient d envoyer à la souris la commande F4 pour valider le mode transmission en continu. La souris répond à cette commande par l octet d acquittement FA, puis envoie alors les données au système par paquet de trois octets contenant les informations de déplacement et d état des boutons. MSB LSB Bit Octet 1 Yo Xo Ys Xs 1 M R L Octet 2 X7 X6 X5 X4 X3 X2 X1 X0 Octet 3 Y7 Y6 Y5 Y4 Y3 Y2 Y1 Y0 L = Left key status bit (1 appuyé, 0 relâche) M = Middle Key status bit R = Right Key status bit X7-X0 = déplacement en X en complément à 2 (négatif à gauche, positif à droite) Y7-Y0 = déplacement en Y en complément à 2 (négatif en bas, positif en haut) Xo = bit d overflow sur X Yo = bit d overflow sur Y Xs = Signe de X (1 = négatif) Ys = Signe de Y (1 = négatif) Les compteurs de mouvement sont des mots de 9 bits en complément à 2. Le MSB est le bit de signe qui se retrouve dans l octet 1 de la trame et les autres 8 bits dans les octets 2 ou 3. Ces compteurs sont mis à jour lorsque la souris détecte un mouvement. Ils contiennent la somme de tous les mouvements effectués depuis le dernier transfert de trame vers le système. Ils ont une valeur comprise entre +255 et 256. S il y a dépassement, les bits d overflow de l octet 1 sont activés et aucun incrément ou décrément n est possible jusqu à l émission de la trame et le reset des compteurs qui s en suit. PATRIE Thomas/CARLIER Julien Page 6 dd/11/yyyy

7 Quant à la durée d une trame, il faut compter l émission des trois octets espacés d environ 350 µs, cela donne environ 3,6 ms. Si on rajoute une durée d au moins 6,4 ms entre trames, alors la souris pourra envoyer jusqu à 100 trames par seconde. c.exploitation Sur un ordinateur, la souris sert à réaliser un curseur vidéo. Chaque fois que la souris émet une nouvelle trame, il faut rajouter à la position courante du curseur les mouvements H et V correspondants. Le curseur sera initialisé au centre de l écran au départ. On constate qu il suffit de deux registres d accumulation pour mémoriser la position du curseur en H ainsi que celle en V. L idéal est que la résolution de la souris (plus petit déplacement) corresponde à la résolution de l écran (un pixel). PATRIE Thomas/CARLIER Julien Page 7 dd/11/yyyy

8 II.Réalisation 1.Cahier des charges Les exigences du projet se décomposent en 3 sous parties : a) Piloter une souris : Création du composant «pilote_souris» gérant l'initialisation, et capable d'extraire les informations de déplacements (entité fournie). b) Identifier les déplacements d'une souris : Création d'un composant «test déplacement» donnant, a chaque arret de la souris, la direction (haut, bas, gauche, droite) du dernier déplacement continu. c) Création d'un test de motricité: Création d'un composant «test_motricité» permettant de signaler un écart entre la position de la souris et un parcours prédéfini à une tolérance près. PATRIE Thomas/CARLIER Julien Page 8 dd/11/yyyy

9 2.Méthodologie Debut INIT Tempo 100us CLK= 0' Fin tempo Request_to_send CLK= Z' DATA= 0' Emission F4 Fin_emission Confirmation Réception FA Fin_reception Affiche FA Réception 3 trames Fin_reception Calcul Debut_cycle Tempo : Le pilote force la CLK a 0 pendant 100us pour communiquer des commande a la souris. PATRIE Thomas/CARLIER Julien Page 9 dd/11/yyyy

10 Request_to_send (RTS) : le pilote force DATA a 0 et libère CLK. Emission F4 : le pilote indique a la souris qu elle peut commencer a envoyer des données. Confirmation : le pilote attend la commande retour de la souris (F4 si elle a bien «compris» la commande) Affiche FA : le pilote affiche FA pour indiquer l étape active (inutile dans le cas d une utilisation réelle) Réception 3 trames : le pilote reçoit les données de la souris (chacune codée sur 3 trames) Calcul : le pilote effectue le traitement des données reçues. 3.Architecture INIT Clk_24MHz 0 0 V c c1 V c c2 b1 5 CLK 1 a1 b2 6 DATA 2 a2 Souris Pilote b1 5 b b3 7 Boutons L, M, R Déplacements X et Y b1 5 Unité de traitement b2 b3 6 7 Afficheurs b4 8 X : Bus de 8 Bits informant sur la coordonnée X du déplacement Y : Bus de 8 Bits informant sur la coordonnée Y du déplacement L : Bit valant 1 si un appui sur le bouton gauche de la souris est détecté M : Bit valant 1 si un appui sur le bouton milieu de la souris est détecté R : Bit valant 1 si un appui sur le bouton droit de la souris est détecté Init : Initialisation du pilote Clk_24MHz : horloge de la CM PATRIE Thomas/CARLIER Julien Page 10 dd/11/yyyy

11 CLK : ligne bidirectionnelle de l'horloge souris. DATA : ligne bidirectionnelle de donnée. Afficheurs : 6 bus de 7(/8) bits correspondants à chacun des afficheurs disponibles sur la CM la souris est un composant qui à été conçu uniquement dans le but d'éprouver le pilote dans des conditions les plus fidèles possibles à la réalité. Sa réalisation aurait pu faire l'objet d'une étude similaire à celle du pilote, avec un comportement dynamique prenant en compte les réactions de ce dernier, mais cela n'avançant en rien le projet, on choisira une description temporelle figée de ce composant pour tester notre pilote. Le composant interfaçage sert uniquement à concrétiser par un affichage sur la carte mère une séquence de test adapté apte à prouver le bon fonctionnement du composant «pilote_souris». 4.Détails de codage Composant pilote_souris Le séquenceur enchaîne les différentes étapes et boucle sur la réception des trames et leur traitement. Tous les états sont conditionnés par des variables internes de pilote_souris. Dans la fonction case on a par exemple : WHEN état_1 => IF fin_état_1 = '1' THEN etat <= état_2 Cela se traduit par : Quand on est à etat = état_1, si fin_état_1 passe à 1 alors on passe à etat = état_2 sinon on reste à etat = état_1. sequenceur: PROCESS (horloge_24mhz) BEGIN -- PROCESS sequenceur IF horloge_24mhz= '1' THEN -- synchrone IF initialiser = '1' THEN etat <= debut; ELSE CASE etat IS WHEN debut => etat <= tempo; WHEN tempo => IF fin_tempo = '1' THEN etat <= rts; WHEN rts => etat <= emission; WHEN emission => IF fin_emission = '1' THEN etat <= reception1; WHEN reception1 => IF fin_octet = '1' THEN etat <= debut_trame ; WHEN debut_trame => etat <= reception2; WHEN reception2 => IF fin_trame = '1' THEN etat <= calcul; WHEN calcul => IF fin_calcul= '1' THEN etat <= reception2; END CASE; END PROCESS sequenceur; PATRIE Thomas/CARLIER Julien Page 11 dd/11/yyyy

12 Actions liées aux états du séquenceur : init_tempo <= (etat = debut); direction_horloge <= (etat = tempo); emettre <= (etat = emission); direction_donnee <= (etat = emission) OR (etat = rts) ; recevoir <= (etat = reception1) OR (etat = reception2); calculer <= (etat = calcul); Tout d'abord il faut définir les entrées/sorties du composant pilote_souris ainsi que les bibliothèques nécessaires au projet ce qui correspond au code VHDL suivant : LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.numeric_std.all; ENTITY pilote_souris IS PORT ( horloge_24mhz : IN std_ulogic; -- horloge systeme initialiser : IN std_ulogic; -- reset general du circuit donnee_souris : INOUT std_logic; -- bidirectionnel horloge_souris : INOUT std_logic; bouton_gauche : OUT std_ulogic; -- indique un appui bouton_milieu : OUT std_ulogic; -- indique un appui bouton_droit : OUT std_ulogic; -- indique un appui deplacement_x : OUT signed (8 DOWNTO 0); -- excursion deplacement_y : OUT signed (8 DOWNTO 0); -- excursion reponse_souris : OUT std_logic_vector(7 DOWNTO 0)); -- sortie vers les afficheur, pour le test sur la carte memec END pilote_souris; Ensuite on définit l'architecture de pilote_souris que l'on va utiliser ainsi que tous les signaux internes et les "états" que peut prendre t_etat qui vont nous être utiles plus tard. ARCHITECTURE denous OF pilote_souris IS SIGNAL recevoir, emettre, calculer : boolean; SIGNAL init_tempo, direction_donnee: boolean; SIGNAL direction_horloge : boolean; SIGNAL droit : std_ulogic; SIGNAL gauche : std_ulogic; SIGNAL milieu : std_ulogic; SIGNAL mouvement_h : signed(8 DOWNTO 0); SIGNAL mouvement_v : signed(8 DOWNTO 0); SIGNAL x0, y0 : std_ulogic; TYPE t_etat IS (debut, tempo, rts, emission, reception1, debut_trame, reception2,calcul); SIGNAL etat : t_etat; SIGNAL fin_tempo : std_ulogic; PATRIE Thomas/CARLIER Julien Page 12 dd/11/yyyy

13 BEGIN SIGNAL fin_octet : std_ulogic; SIGNAL fin_emission : std_ulogic; SIGNAL fin_trame : std_ulogic; SIGNAL fin_calcul : std_ulogic; SIGNAL fin_init : std_ulogic; SIGNAL donnee_e : std_ulogic; SIGNAL donnee_s : std_ulogic; SIGNAL horloge_e : std_ulogic; Ici on va gérer le passage de donnee_souris à 'Z' pour que le système maître puisse envoyer des données à la souris. On gère également le passage de horloge_souris à '0' ou à 'Z' ainsi que celui de horloge_e à la valeur de horloge_souris. -- separation des donnes en entree et sortie donnee_e <= donnee_souris; donnee_souris <= donnee_s WHEN direction_donnee ELSE 'Z'; -- separation des horloges en entree et sortie horloge_souris <= '0' WHEN direction_horloge ELSE 'Z'; horloge_e <= horloge_souris ; La temporisation sert à maintenir l'horloge souris pendant 100 us environ lorsque le système veut commander la souris ce qui est représenté par le code VHDL du process suivant : temporisation: PROCESS (horloge_24mhz) CONSTANT duree : natural := 2400; us a 24Mhz VARIABLE decompteur : natural RANGE 0 TO duree; BEGIN -- PROCESS temporisation IF horloge_24mhz= '1' THEN -- synchrone IF init_tempo THEN decompteur := duree; fin_tempo <= '0'; ELSIF decompteur /= 0 THEN decompteur := decompteur -1; ELSE fin_tempo <= '1'; END PROCESS temporisation; Le process émission ne sert qu'à émettre la commande "stream mode". On crée ensuite le process emiss qui se déclanche sur un changement de emettre ou de horloge_souris. emiss: PROCESS(emettre, horloge_souris) VARIABLE nb_bits : natural RANGE 0 TO 11; VARIABLE registre_emission : std_logic_vector(11 DOWNTO 0); CONSTANT mot_f4 : std_logic_vector(11 DOWNTO 0) := " "; BEGIN -- PROCESS emission IF emettre = false THEN -- asynchrone registre_emission := mot_f4; nb_bits := 0; ELSIF falling_edge(horloge_souris) THEN -- synchrone registre_emission := '0' & registre_emission(11 DOWNTO 1); PATRIE Thomas/CARLIER Julien Page 13 dd/11/yyyy

14 nb_bits := nb_bits + 1; IF nb_bits = 11 THEN fin_emission <= '1'; ELSE fin_emission <= '0'; donnee_s <= registre_emission(0); -- On émet le premier bit de registre_emission END PROCESS emiss; Dans ce process on effectue un décalage de registre_emission à droite et on émet le premier bit de registre_emission (i.e. registre_emission(0)) tout en incrémentant nb_bits à chaque bit émis. Le process réception sert soit à recevoir l'acquittement du mot de commande précédant soit à recevoir une trame complète. Le process reception ne se déclanche que sur un changement de recevoir ou de horloge_souris reception : PROCESS(recevoir, horloge_souris) VARIABLE nb_bits : natural RANGE 0 TO 34; VARIABLE registre_reception : std_logic_vector(32 DOWNTO 0); BEGIN -- PROCESS reception IF recevoir = false THEN -- asynchrone nb_bits := 0; ELSIF falling_edge(horloge_souris) THEN -- synchrone registre_reception := donnee_e & registre_reception(32 DOWNTO 1) ; nb_bits := nb_bits + 1; IF nb_bits = 11 and etat = reception1 THEN fin_octet <= '1'; reponse_souris <= registre_reception(31 DOWNTO 24); ELSE fin_octet <= '0'; IF nb_bits = 34 THEN fin_trame <= '1'; ELSE fin_trame <= '0'; mouvement_v <= signed(registre_reception(6) & Ys registre_reception(30 DOWNTO 23)); deplacement vertical Y7->Y0 mouvement_h <= signed(registre_reception(5) & Xs registre_reception(19 DOWNTO 12)); deplacement horizontal X7->X0 gauche <= registre_reception(1); milieu <= registre_reception(3); droit <= registre_reception(2); x0 <= registre_reception(7); y0 <= registre_reception(8); END PROCESS reception; --bit de signe --Octet de --bit de signe --Octet de Si on est dans le cas recevoir, on rempli le registre_reception avec la trame à envoyer tout en incrémentant nb_bits. On met dans mouvement_v et mouvement_h respectivement l'octet de déplacement vertical et horizontal ainsi que leur bit de signe respectif. PATRIE Thomas/CARLIER Julien Page 14 dd/11/yyyy

15 Pour finir on crée un process calcule qui affecte aux différentes variables internes les datas reçues de la souris. calcule : PROCESS(calculer, horloge_24mhz) BEGIN -- PROCESS calcule IF (calculer=true) THEN -- and (falling_edge(horloge_souris)=true)) THEN bouton_gauche <= gauche; bouton_milieu <= milieu; bouton_droit <= droit; if X0 = '0' THEN deplacement_x <= mouvement_h; IF Y0 = '0' THEN deplacement_y <= mouvement_v; fin_calcul <= '1'; ELSE fin_calcul <= '0'; END PROCESS calcule; Composant test_deplacement On commence ici par définir le composant test_deplacement avec ses entrées/sorties notamment HBGD qui contient le résultat du déplacement global de la souris. LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.numeric_std.all; ENTITY test_deplacement IS PORT ( horloge_24mhz : IN std_ulogic; -- horloge systeme horloge_souris : IN std_logic HBGD : OUT std_logic_vector(3 DOWNTO 0); deplacement_x : IN signed(8 DOWNTO 0); deplacement_y : IN signed(8 DOWNTO 0)); END test_deplacement; Ensuite on défini l'architecture de test_deplacement avec les variables internes qui sont global_x, global_y qui contiennent les déplacements en x et en y de la souris puis de stop qui indique que la souris est fixe. ARCHITECTURE test OF test_deplacement IS SIGNAL global_x, global_y : signed(8 DOWNTO 0) := (OTHERS => 'Z'); SIGNAL stop : std_ulogic; BEGIN On crée donc un process deplacement qui se déclenche sur un changement de horloge_souris et qui va faire la somme de chaque déplacement instantané. Ensuite les deux premiers bits de HBGD sont conditionnés en fonction du signe de global_x et les deux derniers par celui de global_y comme est défini dans le code VHDL ci-dessous. PATRIE Thomas/CARLIER Julien Page 15 dd/11/yyyy

16 END test; deplacement : PROCESS (horloge_souris) BEGIN IF (deplacement_x(7 DOWNTO 0) = " " AND deplacement_y(7 DOWNTO 0) = " ") THEN stop <= '1'; IF global_x(8)='0' THEN HBGD(1 DOWNTO 0) <= "01"; ELSE HBGD(1 DOWNTO 0) <= "10"; IF global_y(8)='0' THEN HBGD(3 DOWNTO 2) <= "10"; ELSE HBGD(3 DOWNTO 2) <= "01"; global_x <= " "; global_y <= " "; ELSE stop <= '0'; global_x <= global_x + deplacement_x; global_y <= global_y + deplacement_y; END PROCESS deplacement; 5.Simulations Pour la simulation de notre pilote, nous avons programmé un test bench en temporel. En effet, la programmation d un test bench réaliste est très lourde, et pourrai faire l objet d un projet a part entière. Le test bench en temporel permet un bon débogage, mais ne garantie pas le bon fonctionnement lors de l utilisation dans des conditions réelles. Simulation globale du pilote (sur 5ms) Nous allons expliquer chaque partie de cette simulation. Request to send : Cette simulation correspond aux 200us du début. On remarque l horloge souris clk_s qui est en Z, et est forcé à 0 pendant 100us par le pilote. Le pilote force ensuite donnee à 0 jusqu'à ce que l horloge souris redémarre. Au premier front descendant de clk_s, le pilote commence à envoyer la commande (ici F4) PATRIE Thomas/CARLIER Julien Page 16 dd/11/yyyy

17 Envoi F4 : Au premier front descendant de clk_s, le pilote commence l envoi de la commande F4, dont la trame est Pendant ce temps, le test bench met donnee en haute impedance. Réception FA : Lorsque la souris a reçu la commande F4, elle renvoi FA sur donnee. Le pilote doit alors mettre donnee en haute impédance (ce qui est bien le cas ici puisqu il n y a pas de conflits). La trame de FA est : Affichage FA : Pour vérifier le fonctionnement du système, nous avons rajouté une sortie affiche, qui renvoi sur des afficheurs la commande reçu par le pilote. Ici, la sortie affiche renvoie la commande FA, réponse de la souris a la commande précédente. PATRIE Thomas/CARLIER Julien Page 17 dd/11/yyyy

18 Réception des données souris (3 trames) : Une fois que la souris à envoyer la confirmation (FA), elle commence a envoyer les données. Elle envoi 3 trames (33 bits) contenant des informations sur le déplacement, et l appui sur les boutons. Ici, la trame est envoyée par le test bench. Le pilote est bien en réception, puisque donnee est bien en haute impédance. Renvoi des données reçues : A chaque trame reçue par le pilote, celui-ci en extrait les informations, et les renvoi sur ses sorties (gauche, milieu, droit pour les boutons, et x et y pour les déplacements). Test_deplacement : On fait un test du composant test_deplacement seul. A chaque nouvelle trame reçue, test_deplacement fait la somme du déplacement instantané et du déplacement global précédent. Une détection du signe du déplacement global permet de donner la direction de celui-ci. PATRIE Thomas/CARLIER Julien Page 18 dd/11/yyyy

19 III.Conclusion Ce projet nous a permis de nous familiariser avec le VHDL. Il nous a aussi appris à toujours garder a l esprit que chaque ligne de commande doit correspondre a une réalité physique. En effet, des commande tel que wait until ne sont pas synthétisable. Bien que notre programme ne marche pas sur le Xilinx, ce projet nous a permis d aller jusqu au bout de la mise en œuvre des FPGA, en implantant notre programme dans le puce. PATRIE Thomas/CARLIER Julien Page 19 dd/11/yyyy

Manipulations du laboratoire

Manipulations du laboratoire Manipulations du laboratoire 1 Matériel Les manipulations de ce laboratoire sont réalisées sur une carte électronique comprenant un compteur 4-bit asynchrone (74LS93) avec possibilité de déclenchement

Plus en détail

Transmissions série et parallèle

Transmissions série et parallèle 1. Introduction : Un signal numérique transmet généralement plusieurs digits binaires. Exemple : 01000001 ( huit bits). Dans une transmission numérique on peut envisager deux modes : les envoyer tous en

Plus en détail

T. BLOTIN Lycée Paul-Eluard 93206 SAINT-DENIS

T. BLOTIN Lycée Paul-Eluard 93206 SAINT-DENIS T. BLOTIN Lycée Paul-Eluard 93206 SAINT-DENIS SOMMAIRE I. Le VHDL pour qui, pourquoi, quand, comment? A. Le VHDL!...... 1 B. Pourquoi un langage de description?...... 1 C. Les limites actuelles...... 2

Plus en détail

SUR MODULE CAMÉRA C38A (OV7620)

SUR MODULE CAMÉRA C38A (OV7620) Applications maquette d'étude EP10K20 DÉMULTIPLEXEUR BT.656 SUR MODULE CAMÉRA C38A OV7620 SCHÉMAS ET DESCRIPTIONS AHDL 1. Schéma principal Le démultiplexeur proprement dit est la fonction "Decod_BT656_1".

Plus en détail

Le langage VHDL. Eduardo Sanchez EPFL

Le langage VHDL. Eduardo Sanchez EPFL Le langage VHDL Eduardo Sanchez EPFL Livres conseillés: John F. Wakerly Digital design (4th edition) Prentice Hall, 2005 Peter J. Ashenden The designer's guide to VHDL (3rd edition) Morgan Kaufmann, 2008

Plus en détail

Comme chaque ligne de cache a 1024 bits. Le nombre de lignes de cache contenu dans chaque ensemble est:

Comme chaque ligne de cache a 1024 bits. Le nombre de lignes de cache contenu dans chaque ensemble est: Travaux Pratiques 3. IFT 1002/IFT 1005. Structure Interne des Ordinateurs. Département d'informatique et de génie logiciel. Université Laval. Hiver 2012. Prof : Bui Minh Duc. Tous les exercices sont indépendants.

Plus en détail

Hubert & Bruno Lundi 12 octobre 2009 SAINT-QUENTIN (02)

Hubert & Bruno Lundi 12 octobre 2009 SAINT-QUENTIN (02) Hubert & Bruno Lundi 12 octobre 2009 SAINT-QUENTIN (02) Ne rien livrer au hasard, c est économiser du travail Pont Sainte Maxence(O C est quoi USB? Comment ça marche? Les standards? La technique en détail

Plus en détail

Architecture des ordinateurs TD1 - Portes logiques et premiers circuits

Architecture des ordinateurs TD1 - Portes logiques et premiers circuits Architecture des ordinateurs TD1 - Portes logiques et premiers circuits 1 Rappel : un peu de logique Exercice 1.1 Remplir la table de vérité suivante : a b a + b ab a + b ab a b 0 0 0 1 1 0 1 1 Exercice

Plus en détail

SIN-FPGA DESCRIPTION PAR SCHEMA

SIN-FPGA DESCRIPTION PAR SCHEMA SIN-FPGA DESCRIPTION PAR SCHEMA Documents ressources: http://www.altera.com/literature/lit-index.html Introduction to Quartus II : intro_to_quartus2.pdf Documentation QUARTUS II : quartusii_handbook.pdf

Plus en détail

Université de La Rochelle. Réseaux TD n 6

Université de La Rochelle. Réseaux TD n 6 Réseaux TD n 6 Rappels : Théorème de Nyquist (ligne non bruitée) : Dmax = 2H log 2 V Théorème de Shannon (ligne bruitée) : C = H log 2 (1+ S/B) Relation entre débit binaire et rapidité de modulation :

Plus en détail

ARDUINO DOSSIER RESSOURCE POUR LA CLASSE

ARDUINO DOSSIER RESSOURCE POUR LA CLASSE ARDUINO DOSSIER RESSOURCE POUR LA CLASSE Sommaire 1. Présentation 2. Exemple d apprentissage 3. Lexique de termes anglais 4. Reconnaître les composants 5. Rendre Arduino autonome 6. Les signaux d entrée

Plus en détail

Organigramme / Algorigramme Dossier élève 1 SI

Organigramme / Algorigramme Dossier élève 1 SI Organigramme / Algorigramme Dossier élève 1 SI CI 10, I11 ; CI 11, I10 C24 Algorithmique 8 février 2009 (13:47) 1. Introduction Un organigramme (ou algorigramme, lorsqu il est plus particulièrement appliqué

Plus en détail

VIII- Circuits séquentiels. Mémoires

VIII- Circuits séquentiels. Mémoires 1 VIII- Circuits séquentiels. Mémoires Maintenant le temps va intervenir. Nous avions déjà indiqué que la traversée d une porte ne se faisait pas instantanément et qu il fallait en tenir compte, notamment

Plus en détail

REALISATION d'un. ORDONNANCEUR à ECHEANCES

REALISATION d'un. ORDONNANCEUR à ECHEANCES REALISATION d'un ORDONNANCEUR à ECHEANCES I- PRÉSENTATION... 3 II. DESCRIPTION DU NOYAU ORIGINEL... 4 II.1- ARCHITECTURE... 4 II.2 - SERVICES... 4 III. IMPLÉMENTATION DE L'ORDONNANCEUR À ÉCHÉANCES... 6

Plus en détail

Conception Systèmes numériques VHDL et synthèse automatique des circuits

Conception Systèmes numériques VHDL et synthèse automatique des circuits Année 2011-2012 Conception Systèmes numériques VHDL et synthèse automatique des circuits Travaux pratiques WIDEMACV1 LAAS-CNRS 2011 Présentation du simulateur VHDL sous environnement Cadence Présentation

Plus en détail

DM 1 : Montre Autoquartz ETA

DM 1 : Montre Autoquartz ETA Lycée Masséna DM 1 : Montre Autoquartz ETA 1 Présentation de la montre L essor de l électronique nomade s accompagne d un besoin accru de sources d énergies miniaturisées. Les contraintes imposées à ces

Plus en détail

Algorithmique des Systèmes Répartis Protocoles de Communications

Algorithmique des Systèmes Répartis Protocoles de Communications Algorithmique des Systèmes Répartis Protocoles de Communications Master Informatique Dominique Méry Université de Lorraine 1 er avril 2014 1 / 70 Plan Communications entre processus Observation et modélisation

Plus en détail

Cahier des charges. driver WIFI pour chipset Ralink RT2571W. sur hardware ARM7

Cahier des charges. driver WIFI pour chipset Ralink RT2571W. sur hardware ARM7 Cahier des charges driver WIFI pour chipset Ralink RT2571W sur hardware ARM7 RevA 13/03/2006 Création du document Sylvain Huet RevB 16/03/2006 Fusion des fonctions ARP et IP. SH Modification des milestones

Plus en détail

Conception Systèmes numériques VHDL et synthèse automatique des circuits

Conception Systèmes numériques VHDL et synthèse automatique des circuits Année 2008-2009 Conception Systèmes numériques VHDL et synthèse automatique des circuits Travaux pratiques Pentium4 Présentation du simulateur VHDL sous environnement Cadence Présentation de l outil Synopsys

Plus en détail

FONCTION COMPTAGE BINAIRE ET DIVISION DE FRÉQUENCE

FONCTION COMPTAGE BINAIRE ET DIVISION DE FRÉQUENCE I/ GÉNÉRALITÉS I.1/ Fonction Un compteur binaire est utilisé : -pour compter un certain nombre d'évènements binaires -pour diviser la fréquence d'un signal logique par 2 m Page 1 FONCTION COMPTAGE BINAIRE

Plus en détail

SIP. Plan. Introduction Architecture SIP Messages SIP Exemples d établissement de session Enregistrement

SIP. Plan. Introduction Architecture SIP Messages SIP Exemples d établissement de session Enregistrement SIP Nguyen Thi Mai Trang LIP6/PHARE Thi-Mai-Trang.Nguyen@lip6.fr UPMC - M2 Réseaux - UE PTEL 1 Plan Introduction Architecture SIP Messages SIP Exemples d établissement de session Enregistrement UPMC -

Plus en détail

Dossier technique. Présentation du bus DMX et Utilisation des options EL13 / EL14 ERM AUTOMATISMES INDUSTRIELS 1 LE PROTOCOLE DMX 2

Dossier technique. Présentation du bus DMX et Utilisation des options EL13 / EL14 ERM AUTOMATISMES INDUSTRIELS 1 LE PROTOCOLE DMX 2 ERM AUTOMATISMES INDUSTRIELS 280 Rue Edouard Daladier 84973 CARPENTRAS Cedex Tél : 04 90 60 05 68 - Fax : 04 90 60 66 26 Site : http://www.erm-automatismes.com/ E-Mail : Contact@erm-automatismes.com 1

Plus en détail

Dynamic Host Configuration Protocol

Dynamic Host Configuration Protocol Dynamic Host Configuration Protocol 1 2 problèmes de gestion avec IP La Gestion des adresses IP Les adresses IP doivent être unique Nécessité d une liste d ordinateurs avec leurs adresses IP respectives

Plus en détail

Modules d automatismes simples

Modules d automatismes simples Modules d automatismes simples Solutions pour automatiser Modules d'automatismes Enfin, vraiment simple! Un concentré de solution Pour vos petites applications d'automatismes millenium gère : Temporisations

Plus en détail

EPREUVE OPTIONNELLE d INFORMATIQUE CORRIGE

EPREUVE OPTIONNELLE d INFORMATIQUE CORRIGE EPREUVE OPTIONNELLE d INFORMATIQUE CORRIGE QCM Remarque : - A une question correspond au moins 1 réponse juste - Cocher la ou les bonnes réponses Barème : - Une bonne réponse = +1 - Pas de réponse = 0

Plus en détail

Introduction à l informatique temps réel Pierre-Yves Duval (cppm)

Introduction à l informatique temps réel Pierre-Yves Duval (cppm) Introduction à l informatique temps réel Pierre-Yves Duval (cppm) Ecole d informatique temps réel - La Londes les Maures 7-11 Octobre 2002 -Définition et problématique - Illustration par des exemples -Automatisme:

Plus en détail

SYSTEME DE TELESURVEILLANCE VIDEO

SYSTEME DE TELESURVEILLANCE VIDEO SYSTEME DE TELESURVEILLANCE VIDEO Avril - Juin 98 Résumé des auteurs : Auteurs : Bertrand LASSERRE Responsables : P. KADIONIK Y. BERTHOUMIEU Nombre de pages : 68 Nombre de pages «Annexe» : 0 Remerciements

Plus en détail

IFT1215 Introduction aux systèmes informatiques

IFT1215 Introduction aux systèmes informatiques Introduction aux circuits logiques de base IFT25 Architecture en couches Niveau 5 Niveau 4 Niveau 3 Niveau 2 Niveau Niveau Couche des langages d application Traduction (compilateur) Couche du langage d

Plus en détail

Tuto pour connecter une source RVB RGB à un moniteur Commodore / Amiga

Tuto pour connecter une source RVB RGB à un moniteur Commodore / Amiga Tuto pour connecter une source RVB RGB à un moniteur Commodore / Amiga Il faut 1 moniteur Commodore 1084S / 1083S-D1 Ecran 1084S (au dos, il est indiqué 1083S-D1), je l ai eut avec un Amiga 600 et on va

Plus en détail

PROGRAMMATION EVENEMENTIELLE sur EXCEL

PROGRAMMATION EVENEMENTIELLE sur EXCEL MASTERs SMaRT & GSI PROGRAMMATION EVENEMENTIELLE sur EXCEL Pierre BONNET Programmation évènementielle La programmation évènementielle permet un appel de procédure depuis l'interface HMI d'excel (ou d'un

Plus en détail

La norme Midi et JavaSound

La norme Midi et JavaSound La norme Midi et JavaSound V 1.0-14.2.2006 (update Fev. 07) Jacques Ferber LIRMM - Université Montpellier II 161 rue Ada 34292 Montpellier Cedex 5 Email: ferber@lirmm.fr Home page: www.lirmm.fr/~ferber

Plus en détail

NIVEAU D'INTERVENTION DE LA PROGRAMMATION CONCURRENTE

NIVEAU D'INTERVENTION DE LA PROGRAMMATION CONCURRENTE NIVEAU D'INTERVENTION DE LA PROGRAMMATION CONCURRENTE Une application se construit par étapes 1) CAHIER DES CHARGES + ANALYSE FONCTIONNELLE = organisation fonctionnelle (QUE FAIRE) 2) ANALYSE OPERATIONNELLE

Plus en détail

Programmation en langage C d un µcontrôleur PIC à l aide du compilateur C-CCS Sommaire

Programmation en langage C d un µcontrôleur PIC à l aide du compilateur C-CCS Sommaire Programmation en langage C d un µcontrôleur PIC à l aide du compilateur C-CCS CCS Sommaire Généralités sur le langage. 2 Structure d un programme en C.. 3 Les constantes et équivalences.. 4 Les variables...

Plus en détail

Cours de Programmation en Langage Synchrone SIGNAL. Bernard HOUSSAIS IRISA. Équipe ESPRESSO

Cours de Programmation en Langage Synchrone SIGNAL. Bernard HOUSSAIS IRISA. Équipe ESPRESSO Cours de Programmation en Langage Synchrone SIGNAL Bernard HOUSSAIS IRISA. Équipe ESPRESSO 24 septembre 2004 TABLE DES MATIÈRES 3 Table des matières 1 Introduction 5 1.1 La Programmation Temps Réel.........................

Plus en détail

I- Définitions des signaux.

I- Définitions des signaux. 101011011100 010110101010 101110101101 100101010101 Du compact-disc, au DVD, en passant par l appareil photo numérique, le scanner, et télévision numérique, le numérique a fait une entrée progressive mais

Plus en détail

DU BINAIRE AU MICROPROCESSEUR - D ANGELIS CIRCUITS CONFIGURABLES NOTION DE PROGRAMMATION

DU BINAIRE AU MICROPROCESSEUR - D ANGELIS CIRCUITS CONFIGURABLES NOTION DE PROGRAMMATION 145 NOTION DE PROGRAMMATION 1/ Complétons notre microprocesseur Nous avons, dans les leçons précédentes décrit un microprocesseur théorique, cependant il s inspire du 6800, premier microprocesseur conçu

Plus en détail

INTRODUCTION AUX SYSTEMES D EXPLOITATION. TD2 Exclusion mutuelle / Sémaphores

INTRODUCTION AUX SYSTEMES D EXPLOITATION. TD2 Exclusion mutuelle / Sémaphores INTRODUCTION AUX SYSTEMES D EXPLOITATION TD2 Exclusion mutuelle / Sémaphores Exclusion mutuelle / Sémaphores - 0.1 - S O M M A I R E 1. GENERALITES SUR LES SEMAPHORES... 1 1.1. PRESENTATION... 1 1.2. UN

Plus en détail

Ordinateurs, Structure et Applications

Ordinateurs, Structure et Applications Ordinateurs, Structure et Applications Cours 19, Le USB Etienne Tremblay Université Laval, Hiver 2012 Cours 19, p.1 USB signifie Universal Serial Bus USB Le USB a été conçu afin de remplacer le port série

Plus en détail

Master e-secure. VoIP. RTP et RTCP

Master e-secure. VoIP. RTP et RTCP Master e-secure VoIP RTP et RTCP Bureau S3-354 Mailto:Jean.Saquet@unicaen.fr http://saquet.users.greyc.fr/m2 Temps réel sur IP Problèmes : Mode paquet, multiplexage de plusieurs flux sur une même ligne,

Plus en détail

I. TRANSMISSION DE DONNEES

I. TRANSMISSION DE DONNEES TD I. TRANSMISSION DE DONNEES 1. QU'EST-CE QU'UN CANAL DE TRANSMISSION? 1.1 Rappels Une ligne de transmission est une liaison entre les deux machines. On désigne généralement par le terme émetteur la machine

Plus en détail

COMMANDER la puissance par MODULATION COMMUNIQUER

COMMANDER la puissance par MODULATION COMMUNIQUER SERIE 4 MODULER - COMMUNIQUER Fonctions du programme abordées : COMMANDER la puissance par MODULATION COMMUNIQUER Objectifs : Réaliser le câblage d un modulateur d après le schéma de puissance et de commande,

Plus en détail

ASR1 TD7 : Un microprocesseur RISC 16 bits

ASR1 TD7 : Un microprocesseur RISC 16 bits {Â Ö Ñ º ØÖ Ý,È ØÖ ºÄÓ Ù,Æ ÓÐ ºÎ ÝÖ Ø¹ ÖÚ ÐÐÓÒ} Ò ¹ÐÝÓÒº Ö ØØÔ»»Ô Ö Óº Ò ¹ÐÝÓÒº Ö» Ö Ñ º ØÖ Ý»¼ Ö½» ASR1 TD7 : Un microprocesseur RISC 16 bits 13, 20 et 27 novembre 2006 Présentation générale On choisit

Plus en détail

Master d'informatique 1ère année Réseaux et protocoles. Couche physique

Master d'informatique 1ère année Réseaux et protocoles. Couche physique Master d'informatique 1ère année Réseaux et protocoles Couche physique Bureau S3-354 Mailto:Jean.Saquet@unicaen.fr http://saquet.users.greyc.fr/m1/rezopro Supports de communication Quelques exemples :

Plus en détail

Manuel installateur XT200i

Manuel installateur XT200i Préambule Description La centrale XT200i est un système d alarme sans fil, fonctionnant sur piles, elle est principalement destinée aux marchés du résidentiel, des petits commerces et des ouvrages extérieurs.

Plus en détail

RÉALISATION ET MISE AU POINT D UN SYSTÈME DE TÉLÉSURVEILLANCE VIDÉO

RÉALISATION ET MISE AU POINT D UN SYSTÈME DE TÉLÉSURVEILLANCE VIDÉO E.N.S.E.R.B. Romain COMBELAS Frédéric BONNIN 3ème année. Option TIC MÉMOIRE DE FIN D ÉTUDES RÉALISATION ET MISE AU POINT D UN SYSTÈME DE TÉLÉSURVEILLANCE VIDÉO Encadré par : M. Patrice KADIONIK M. Yannick

Plus en détail

Temps Réel. Jérôme Pouiller <j.pouiller@sysmic.org> Septembre 2011

Temps Réel. Jérôme Pouiller <j.pouiller@sysmic.org> Septembre 2011 Temps Réel Jérôme Pouiller Septembre 2011 Sommaire Problèmatique Le monotâche Le multitâches L ordonnanement Le partage de ressources Problèmatiques des OS temps réels J. Pouiller

Plus en détail

Logique séquentielle

Logique séquentielle Bascules et logique séquentielle aniel Etiemble de@lri.fr Logique séquentielle Logique séquentielle Le système a des «états» ans un système séquentiel Éléments de mémorisation Les sorties dépendent des

Plus en détail

BACCALAURÉAT GÉNÉRAL SÉRIE SCIENTIFIQUE

BACCALAURÉAT GÉNÉRAL SÉRIE SCIENTIFIQUE BACCALAURÉAT GÉNÉRAL SÉRIE SCIENTIFIQUE ÉPREUVE DE SCIENCES DE L INGÉNIEUR ÉPREUVE DU VENDREDI 20 JUIN 2014 Session 2014 Durée de l épreuve : 4 heures Coefficient 4,5 pour les candidats ayant choisi un

Plus en détail

ET 24 : Modèle de comportement d un système Boucles de programmation avec Labview.

ET 24 : Modèle de comportement d un système Boucles de programmation avec Labview. ET 24 : Modèle de comportement d un système Boucles de programmation avec Labview. Sciences et Technologies de l Industrie et du Développement Durable Formation des enseignants parcours : ET24 Modèle de

Plus en détail

Eléments de spécification des systèmes temps réel Pierre-Yves Duval (cppm)

Eléments de spécification des systèmes temps réel Pierre-Yves Duval (cppm) Eléments de spécification des systèmes temps réel Pierre-Yves Duval (cppm) Ecole d informatique temps réel - La Londes les Maures 7-11 Octobre 2002 - Evénements et architectures - Spécifications de performances

Plus en détail

INITIATION AU LANGAGE C SUR PIC DE MICROSHIP

INITIATION AU LANGAGE C SUR PIC DE MICROSHIP COURS PROGRAMMATION INITIATION AU LANGAGE C SUR MICROCONTROLEUR PIC page 1 / 7 INITIATION AU LANGAGE C SUR PIC DE MICROSHIP I. Historique du langage C 1972 : naissance du C dans les laboratoires BELL par

Plus en détail

Qualité du logiciel: Méthodes de test

Qualité du logiciel: Méthodes de test Qualité du logiciel: Méthodes de test Matthieu Amiguet 2004 2005 Analyse statique de code Analyse statique de code Étudier le programme source sans exécution Généralement réalisée avant les tests d exécution

Plus en détail

Application 1- VBA : Test de comportements d'investissements

Application 1- VBA : Test de comportements d'investissements Application 1- VBA : Test de comportements d'investissements Notions mobilisées Chapitres 1 à 5 du cours - Exemple de récupération de cours en ligne 1ère approche des objets (feuilles et classeurs). Corps

Plus en détail

BeSpoon et l homme Connecté

BeSpoon et l homme Connecté BeSpoon et l homme Connecté Paris 25 et 26 Mars BeSpoon est une société «Fabless» qui a développé en collaboration avec le CEA-Leti un composant IR-UWB (Impulse Radio Ultra Wide Band) dédié à la localisation

Plus en détail

Travaux pratiques. Compression en codage de Huffman. 1.3. Organisation d un projet de programmation

Travaux pratiques. Compression en codage de Huffman. 1.3. Organisation d un projet de programmation Université de Savoie Module ETRS711 Travaux pratiques Compression en codage de Huffman 1. Organisation du projet 1.1. Objectifs Le but de ce projet est d'écrire un programme permettant de compresser des

Plus en détail

IMAGES NUMÉRIQUES MATRICIELLES EN SCILAB

IMAGES NUMÉRIQUES MATRICIELLES EN SCILAB IMAGES NUMÉRIQUES MATRICIELLES EN SCILAB Ce document, écrit par des animateurs de l IREM de Besançon, a pour objectif de présenter quelques unes des fonctions du logiciel Scilab, celles qui sont spécifiques

Plus en détail

Proteus Design Suite V7 Instruments virtuels

Proteus Design Suite V7 Instruments virtuels Proteus Design Suite V7 Instruments virtuels Le modèle d oscilloscope virtuel...2 Généralités...2 Utilisation de l oscilloscope...2 Le modèle d analyseur logique...5 Généralités...5 Utilisation de l analyseur

Plus en détail

Hiérarchie matériel dans le monde informatique. Architecture d ordinateur : introduction. Hiérarchie matériel dans le monde informatique

Hiérarchie matériel dans le monde informatique. Architecture d ordinateur : introduction. Hiérarchie matériel dans le monde informatique Architecture d ordinateur : introduction Dimitri Galayko Introduction à l informatique, cours 1 partie 2 Septembre 2014 Association d interrupteurs: fonctions arithmétiques élémentaires Elément «NON» Elément

Plus en détail

Importantes instructions de sécurité

Importantes instructions de sécurité RCR-5 D Version 1 1. 2. Importantes instructions de sécurité Lire les instructions Toutes les instructions de sécurité et d utilisation doivent être lues avant d utiliser l appareil. Conserver les instructions

Plus en détail

DOSSIER D'UTILISATION

DOSSIER D'UTILISATION DOSSIER D'UTILISATION SYSTEME MONITORING REDACTION VERIFICATION APPROBATION Stéphane CLERICI Marc LAFLUTTE André CHEMINADE ARCLAN'System Page 1 /17 Tel.04.42.97.70.75 Siège Social Fax.04.42.97.71.99 Actimart

Plus en détail

NFC Near Field Communication

NFC Near Field Communication NFC Near Field Communication 19/11/2012 Aurèle Lenfant NFC - Near Field Communication 1 Sommaire! Introduction! Fonctionnement! Normes! Codage! Intérêts! Usages! Sécurité NFC - Near Field Communication

Plus en détail

SugarCubes. Jean-Ferdinand Susini Maître de Conférences, CNAM Chaire systèmes enfouis et embarqués. Paris, le 9 janvier, 2009

SugarCubes. Jean-Ferdinand Susini Maître de Conférences, CNAM Chaire systèmes enfouis et embarqués. Paris, le 9 janvier, 2009 SugarCubes Jean-Ferdinand Susini Maître de Conférences, CNAM Chaire systèmes enfouis et embarqués Paris, le 9 janvier, 2009 Plan 2 Les SugarCubes au dessus de J2ME Quelques résultats expérimentaux Les

Plus en détail

Génie Logiciel avec Ada. 4 février 2013

Génie Logiciel avec Ada. 4 février 2013 Génie Logiciel 4 février 2013 Plan I. Généralités II. Structures linéaires III. Exceptions IV. Structures arborescentes V. Dictionnaires I. Principes II. Notions propres à la POO I. Principes Chapitre

Plus en détail

SYSTEME DE GESTION DES ENERGIES EWTS EMBEDDED WIRELESS TELEMETRY SYSTEM

SYSTEME DE GESTION DES ENERGIES EWTS EMBEDDED WIRELESS TELEMETRY SYSTEM SYSTEME DE GESTION DES ENERGIES EWTS EMBEDDED WIRELESS TELEMETRY SYSTEM Copyright TECH 2012 Technext - 8, avenue Saint Jean - 06400 CANNES Société - TECHNEXT France - Tel : (+ 33) 6 09 87 62 92 - Fax :

Plus en détail

PRECAUTIONS DESCRIPTION DU PRODUIT

PRECAUTIONS DESCRIPTION DU PRODUIT Vous venez d acquérir un produit de la marque Essentiel b et nous vous en remercions. Nous apportons un soin particulier au design, à l'ergonomie et à la simplicité d'usage de nos produits. Nous espérons

Plus en détail

TS 35 Numériser. Activité introductive - Exercice et démarche expérimentale en fin d activité Notions et contenus du programme de Terminale S

TS 35 Numériser. Activité introductive - Exercice et démarche expérimentale en fin d activité Notions et contenus du programme de Terminale S FICHE Fiche à destination des enseignants TS 35 Numériser Type d'activité Activité introductive - Exercice et démarche expérimentale en fin d activité Notions et contenus du programme de Terminale S Compétences

Plus en détail

Conception et réalisation d'une pédale MIDI

Conception et réalisation d'une pédale MIDI Conception et réalisation d'une pédale MIDI Origine et historique du projet: Plusieurs dizaines de lycéens de Porto-Vecchio adhèrent au club musique du foyer socio-éducatif et se retrouvent à l'heure du

Plus en détail

QUESTION 1 {2 points}

QUESTION 1 {2 points} ELE4301 Systèmes logiques II Page 1 de 8 QUESTION 1 {2 points} En se servant de paramètres électriques donnés dans le Tableau 1 ci-dessous, on désire déterminer la fréquence d opération du compteur présenté

Plus en détail

Projet Active Object

Projet Active Object Projet Active Object TAO Livrable de conception et validation Romain GAIDIER Enseignant : M. Noël PLOUZEAU, ISTIC / IRISA Pierre-François LEFRANC Master 2 Informatique parcours MIAGE Méthodes Informatiques

Plus en détail

Initiation à LabView : Les exemples d applications :

Initiation à LabView : Les exemples d applications : Initiation à LabView : Les exemples d applications : c) Type de variables : Créer un programme : Exemple 1 : Calcul de c= 2(a+b)(a-3b) ou a, b et c seront des réels. «Exemple1» nom du programme : «Exemple

Plus en détail

NanoSense. Protocole Modbus de la sonde Particules P4000. (Version 01F)

NanoSense. Protocole Modbus de la sonde Particules P4000. (Version 01F) NanoSense 123 rue de Bellevue, 92100 Boulogne Billancourt France Tél : 33-(0) 1 41 41 00 02, fax : 33-(0) 1 41 41 06 72 Protocole Modbus de la sonde Particules P4000 (Version 01F) Ver V01A V01B V01C V01D

Plus en détail

1 Mesure de la performance d un système temps réel : la gigue

1 Mesure de la performance d un système temps réel : la gigue TP TR ENSPS et MSTER 1 Travaux Pratiques Systèmes temps réel et embarqués ENSPS ISV et Master TP1 - Ordonnancement et communication inter-processus (IPC) Environnement de travail Un ordinateur dual-core

Plus en détail

Plan du Travail. 2014/2015 Cours TIC - 1ère année MI 30

Plan du Travail. 2014/2015 Cours TIC - 1ère année MI 30 Plan du Travail Chapitre 1: Internet et le Web : Définitions et historique Chapitre 2: Principes d Internet Chapitre 3 : Principaux services d Internet Chapitre 4 : Introduction au langage HTML 2014/2015

Plus en détail

Skype (v2.5) Protocol Data Structures (French) Author : Ouanilo MEDEGAN http://www.oklabs.net

Skype (v2.5) Protocol Data Structures (French) Author : Ouanilo MEDEGAN http://www.oklabs.net Skype (v2.5) Protocol Data Structures (French) Author : Ouanilo MEDEGAN http://www.oklabs.net : Champ Encodé SKWRITTEN() : Champ Variable défini Précédemment & définissant l état des champs à suivre ECT

Plus en détail

Manuel d utilisation. Système d alarme sans fil avec transmetteur téléphonique. Réf. : AL-800. En cas de problèmes

Manuel d utilisation. Système d alarme sans fil avec transmetteur téléphonique. Réf. : AL-800. En cas de problèmes En cas de problèmes PHENIX apporte tous les soins nécessaires à l élaboration de ses produits. Si toutefois vous rencontriez un problème avec ce modèle, ne le retournez pas au magasin où vous l avez acheté

Plus en détail

THEME 1 : L ORDINATEUR ET SON ENVIRONNEMENT. Objectifs

THEME 1 : L ORDINATEUR ET SON ENVIRONNEMENT. Objectifs Architecture Matérielle des Systèmes Informatiques. S1 BTS Informatique de Gestion 1 ère année THEME 1 : L ORDINATEUR ET SON ENVIRONNEMENT Dossier 1 L environnement informatique. Objectifs Enumérer et

Plus en détail

Cours n 12. Technologies WAN 2nd partie

Cours n 12. Technologies WAN 2nd partie Cours n 12 Technologies WAN 2nd partie 1 Sommaire Aperçu des technologies WAN Technologies WAN Conception d un WAN 2 Lignes Louées Lorsque des connexions dédiées permanentes sont nécessaires, des lignes

Plus en détail

GPA770 Microélectronique appliquée Exercices série A

GPA770 Microélectronique appliquée Exercices série A GPA770 Microélectronique appliquée Exercices série A 1. Effectuez les calculs suivants sur des nombres binaires en complément à avec une représentation de 8 bits. Est-ce qu il y a débordement en complément

Plus en détail

6.5.2 Reset_Scénario... 11 6.5.3 Démarrage_Zibase... 11 6.6 Mise sous alarme, surveillance... 11 6.6.1 Eclairage Allée Temp... 11 6.6.

6.5.2 Reset_Scénario... 11 6.5.3 Démarrage_Zibase... 11 6.6 Mise sous alarme, surveillance... 11 6.6.1 Eclairage Allée Temp... 11 6.6. Table des matières 1. Définition des besoins... 4 1.1 Monitoring... 4 1.2 Actions... 4 1.3 Alertes... 4 2. Modules et capteurs actuels... 4 2.1 Les actionneurs type lampes... 4 2.2 Les actionneurs type

Plus en détail

Projet Matlab : un logiciel de cryptage

Projet Matlab : un logiciel de cryptage Projet Matlab : un logiciel de cryptage La stéganographie (du grec steganos : couvert et graphein : écriture) consiste à dissimuler une information au sein d'une autre à caractère anodin, de sorte que

Plus en détail

Spécifications Techniques d Interface

Spécifications Techniques d Interface 67, Édition 2 / Octobre 2000 Spécifications Techniques d Interface SRXUOHUpVHDXGH)UDQFH7pOpFRP 'LUHFWLYH&( &DUDFWpULVWLTXHVGHVLQWHUIDFHVG DFFqV DXVHUYLFH7UDQVIL[0pWURSROLWDLQ 5pVXPp Ce document présente

Plus en détail

TEPZZ 568448A_T EP 2 568 448 A1 (19) (11) EP 2 568 448 A1 (12) DEMANDE DE BREVET EUROPEEN. (51) Int Cl.: G07F 7/08 (2006.01) G06K 19/077 (2006.

TEPZZ 568448A_T EP 2 568 448 A1 (19) (11) EP 2 568 448 A1 (12) DEMANDE DE BREVET EUROPEEN. (51) Int Cl.: G07F 7/08 (2006.01) G06K 19/077 (2006. (19) TEPZZ 68448A_T (11) EP 2 68 448 A1 (12) DEMANDE DE BREVET EUROPEEN (43) Date de publication: 13.03.2013 Bulletin 2013/11 (1) Int Cl.: G07F 7/08 (2006.01) G06K 19/077 (2006.01) (21) Numéro de dépôt:

Plus en détail

Base de l'informatique. Généralité et Architecture Le système d'exploitation Les logiciels Le réseau et l'extérieur (WEB)

Base de l'informatique. Généralité et Architecture Le système d'exploitation Les logiciels Le réseau et l'extérieur (WEB) Base de l'informatique Généralité et Architecture Le système d'exploitation Les logiciels Le réseau et l'extérieur (WEB) Généralité Comment fonctionne un ordinateur? Nous définirons 3 couches Le matériel

Plus en détail

Écrit par Riphur Riphur.net

Écrit par Riphur Riphur.net Écrit par Riphur Riphur.net Vous avez plusieurs machines et vous utilisez 3DSMax, alors pourquoi ne pas les mettre en réseau pour accélérer votre temps de rendu? Pour transformer votre bureau en sona à

Plus en détail

Kit pédagogique RFID-EDUC

Kit pédagogique RFID-EDUC Plateau Technique Systèmes électroniques communicants Kit pédagogique RFID-EDUC Support de TP Version enseignant CRESITT Industrie Eric Devoyon - PPT_RFIDEDUC_002ED2012-2.1-05.2012 Le CRT CRESITT est soutenu

Plus en détail

Téléinformatique. Chapitre V : La couche liaison de données dans Internet. ESEN Université De La Manouba

Téléinformatique. Chapitre V : La couche liaison de données dans Internet. ESEN Université De La Manouba Téléinformatique Chapitre V : La couche liaison de données dans Internet ESEN Université De La Manouba Les techniques DSL La bande passante du service voix est limitée à 4 khz, cependant la bande passante

Plus en détail

Prise en main. Prise en main - 0

Prise en main. Prise en main - 0 Prise en main 0404 Prise en main - 0 1- Introduction Creative Professional Merci d avoir choisi le Digital Audio System 0404 d E-MU. Nous avons conçu ce produit E-MU pour qu il soit logique, intuitif et

Plus en détail

Centrale d alarme DS7400 Xi

Centrale d alarme DS7400 Xi Centrale d alarme DS7400 Xi Armé Etat Alimentation Incendie M Armé Etat Alimentation Incendie Périmètre Autosurveillance Arrêt sirènes Dérangements 12345678 M 1 2 3 A 1 2 3 A 4 5 6 Périmètre 4 5 6 Périmètre

Plus en détail

Le Protocole DHCP. Définition. Références. Fonctionnement. Les baux

Le Protocole DHCP. Définition. Références. Fonctionnement. Les baux Définition Le Protocole DHCP DHCP signifie Dynamic Host Configuration Protocol. Il s'agit d'un protocole qui permet à un ordinateur qui se connecte sur un réseau local d'obtenir dynamiquement et automatiquement

Plus en détail

Equipement. électronique

Equipement. électronique MASTER ISIC Les générateurs de fonctions 1 1. Avant-propos C est avec l oscilloscope, le multimètre et l alimentation stabilisée, l appareil le plus répandu en laboratoire. BUT: Fournir des signau électriques

Plus en détail

Description d'une liaison

Description d'une liaison escription d'une liaison I. Constitution d'une liaison...2 II. Modes d'exploitation...2 III. Normalisation des jonctions...2 III.1. Norme V28 (RS232) 3 III.2. Norme V11 (RS422 et RS485) 4 IV. Liaison V24

Plus en détail

NTP (Network Time Protocol)

NTP (Network Time Protocol) NTP (Network Time Protocol) Nous utilisons l'environnement du Lab Guide de Novell, pour nous familiariser avec SLES-10, roulant sous un serveur VMware Server 1.0.6. A: sles101-nsm (SLES10.1/OES2.0) B:

Plus en détail

CLIP. (Calling Line Identification Presentation) Appareil autonome affichant le numéro appelant

CLIP. (Calling Line Identification Presentation) Appareil autonome affichant le numéro appelant 1. Besoin CLIP (Calling Line Identification Presentation) Appareil autonome affichant le numéro appelant ETUDE FONCTIONNELLE De très nombreux abonnés du réseau téléphonique commuté ont exprimé le besoin

Plus en détail

MANUEL DRIVELINK DRIVELINK

MANUEL DRIVELINK DRIVELINK MNUEL XX Table des matières 1. Fonctionnalités 1 2. Pièces et accessoires 1 3. Coup d œil sur le périphérique 2 4. Installer le matériel 3 5. Installer le logiciel 4 6. Le logiciel de sauvegarde 4 6.1

Plus en détail

Caractéristiques principales : 1. Prise en charge des réseaux GSM et PSTN 2. Quadri-bande : 850/900/1 800/1 900 MHz 3. Enregistrement vocal sur 2

Caractéristiques principales : 1. Prise en charge des réseaux GSM et PSTN 2. Quadri-bande : 850/900/1 800/1 900 MHz 3. Enregistrement vocal sur 2 ARTICLE : GSM-4IN Caractéristiques principales : 1. Prise en charge des réseaux GSM et PSTN 2. Quadri-bande : 850/900/1 800/1 900 MHz 3. Enregistrement vocal sur 2 sections (chacune de 1 minute maximum)

Plus en détail

TD Objets distribués n 3 : Windows XP et Visual Studio.NET. Introduction à.net Remoting

TD Objets distribués n 3 : Windows XP et Visual Studio.NET. Introduction à.net Remoting IUT Bordeaux 1 2005-2006 Département Informatique Licence Professionnelle ~ SI TD Objets distribués n 3 : Windows XP et Visual Studio.NET Introduction à.net Remoting Partie 1 : l'analyseur de performances

Plus en détail

Le poids et la taille des fichiers

Le poids et la taille des fichiers Le poids et la taille des fichiers Au tout départ des 0 et des 1 En français et en anglais on appelle cela un bit 8 bit donne un octet (ou byte en anglais) Exemple d octet : 11111111 10111010 00001000

Plus en détail

Les algorithmes de base du graphisme

Les algorithmes de base du graphisme Les algorithmes de base du graphisme Table des matières 1 Traçage 2 1.1 Segments de droites......................... 2 1.1.1 Algorithmes simples.................... 3 1.1.2 Algorithmes de Bresenham (1965).............

Plus en détail

Info0101 Intro. à l'algorithmique et à la programmation. Cours 3. Le langage Java

Info0101 Intro. à l'algorithmique et à la programmation. Cours 3. Le langage Java Info0101 Intro. à l'algorithmique et à la programmation Cours 3 Le langage Java Pierre Delisle, Cyril Rabat et Christophe Jaillet Université de Reims Champagne-Ardenne Département de Mathématiques et Informatique

Plus en détail

Licence Sciences et Technologies Examen janvier 2010

Licence Sciences et Technologies Examen janvier 2010 Université de Provence Introduction à l Informatique Licence Sciences et Technologies Examen janvier 2010 Année 2009-10 Aucun document n est autorisé Les exercices peuvent être traités dans le désordre.

Plus en détail