Machines d état. Exemple 1 : moteur pas à pas à sens de rotation unique.

Dimension: px
Commencer à balayer dès la page:

Download "Machines d état. Exemple 1 : moteur pas à pas à sens de rotation unique."

Transcription

1 Machines d état Les machines d états permettent d effectuer la synthèse de systèmes numériques séquentiels, généralement synchrones (mais la synthèse de systèmes asynchrones est possible) à partir d un cahier des charges. Quelques exemples vont permettre de nous familiariser avec cette notion. Exemple 1 : moteur pas à pas à sens de rotation unique. ynthétisons dans ce premier exemple le séquenceur destiné à la commande d un moteur pas à pas, unipolaire 4 phases, à un seul sens de rotation, en fonctionnement pas entier deux phases (voir le principe de fonctionnement d un tel moteur en annexe). Nous avons ci-après les signaux recherchés 0, 1, 2 et 3 pour la commande des phases en fonction de l horloge H : On note 4 états possibles en sortie, s enchaînant inconditionnellement dans l ordre E1, E2, E3, E4, E1 au rythme de l horloge H. A partir de ce cahier des charges, on peut en déduire le diagramme (ou diagramme à bulles) suivant ; nom de l'état E E valeur des sorties E E Ce diagramme représente les différents états du système, en précisant éventuellement les niveaux logiques correspondants en sortie, et les conditions de passage d un état à l autre. Dans notre cas très simple, à chaque coup d horloge, on passe inconditionnellement à l état suivant. La machine doit toujours se trouver au moins dans un état, et dans un seul état. Avant l apparition des langages HDL (Hardware circuits Description Language) de programmation, la synthèse d une machine d état impliquait la recherche des équations des entrées des bascules de Denis Rabasté 1/16 IUFM Aix Marseille

2 mémorisation des états. Aujourd hui, il est possible de retranscrire directement le diagramme d état en programme ; voici par exemple, un fichier VHLD associé à ce diagramme : library ieee; use ieee.std_logic_1164.all; entity CDE_MOT_2 is port( H : in std_logic; ORTIE : out std_logic_vector (3 downto 0)); end CDE_MOT_2; architecture ARC_CDE OF CDE_MOT_2 is type TYPE_ETAT is (E1, E2, E3, E4); signal X:TYPE_ETAT; begin process (H) begin if H'event and H = '1' then case X is when E1 => X <= E2; when E2 => X <= E3; when E3 => X <= E4; when others => X <= E1; end case; end process; end ARC_CDE; with X select ORTIE <= "1001" when E1, "1010" when E2, "0110" when E3, "0101" when E4; L architecture du programme comprend deux parties distincts : l instruction «process» gère le passage d un état au suivant à chaque coup d horloge, tandis l instruction «with select» affecte aux sorties les valeurs correspondant à chaque état. Remarques : le programme aurait pu être simplifié ( et les ressources du circuit cible économisées) en remarquant que les sorties étaient complémentaires deux à deux. On notera que tous les états possibles des sorties n ont pas été traités dans le diagramme d état, afin de ne pas l alourdir. Dans la description VHDL cependant, les états imprévus conduisent à l état E1 (instruction «when others») au coup d horloge suivant. Cette solution n est pas toujours la plus intéressante, un état imprévu conduisant alors à un fonctionnement aléatoire difficile à détecter et à supprimer ; il est parfois préférable d avoir une panne franche, en prévoyant par exemple un état «Erreur». Exemple 2 : moteur pas à pas à double sens de rotation. Reprenons le premier exemple en introduisant cette fois une commande permettant de choisir le sens de rotation. Nous supposerons cette commande synchrone dans un premier temps. Les chronogrammes recherchés sont alors : Denis Rabasté 2/16 IUFM Aix Marseille

3 Ce qui nous conduit au diagramme d état suivant : E E E E Remarques : - lorsque plusieurs entrées interviennent dans un diagramme d état, on suppose qu une seule est susceptible de changer à un instant donné ; - lorsque les conditions ne sont pas réalisées, l état ne change pas (dans notre exemple, les conditions étant complémentaires, elles sont toujours réalisées) ; - lorsque plusieurs branchements sont possibles à partir d un état, les conditions ne doivent pas être vraies en même temps ; - la présence de l horloge est implicite, le passage d un état à l autre ne peut se faire qu au front actif de l horloge. Une description VHDL possible est alors donnée ci-après : library ieee; use ieee.std_logic_1164.all; entity CDE_MOT_2 is port( H, : in std_logic; ORTIE : out std_logic_vector (3 downto 0)); end CDE_MOT_2; architecture ARC_CDE OF CDE_MOT_2 is type TYPE_ETAT is (E1, E2, E3, E4); signal X:TYPE_ETAT; begin process (H) begin if H'event and H = '1' then case X is when E1 => if ='1' then X <= E2; else X <=E4; Denis Rabasté 3/16 IUFM Aix Marseille

4 when E2 => if ='1' then X <= E3; else X <=E1; when E3 => if ='1' then X <= E4; else X <=E2; when others => if ='1' then X <= E1; else X <=E3; end process; end case; end ARC_CDE; with X select ORTIE <= "1001" when E1, "1010" when E2, "0110" when E3, "0101" when E4; Machine de Moore Le fonctionnement décrit précédemment correspond à celui d une machine dite de Moore dont le schéma est donné ci-après : horloge entrées couche combinatoire calcul de l'état futur état futur registre d'état état actuel couche combinatoire calcul des sorties sorties Avec ce type de machine, un registre d état (composé de bascules) mémorise l état actuel, tandis qu une couche combinatoire positionne l entrée du registre afin de pouvoir activer l état suivant au coup d horloge suivant. Les sorties sont déterminées par une couche combinatoire en fonction des sorties du registre d état. Elles sont donc synchrones avec l horloge. Les performances du système vont beaucoup dépendre de la simplicité ou de la complexité des couches combinatoires. Plusieurs stratégies sont possibles : - affecter une sortie du système à chaque sortie du registre d état (état codé avec les sorties), ce qui n est pas toujours possible ; la couche combinatoire de calcul des sorties est alors supprimée, le temps entre le front d horloge et l évolution des sorties est optimal ; Denis Rabasté 4/16 IUFM Aix Marseille

5 - affecter une bascule par état dans le registre d état («one hot»), le nombre de bascules est alors généralement important, mais le décodage des sorties et surtout le calcul de l état futur est facilité, permettant une fréquence de fonctionnement élevée ; - minimiser le nombre de bascules (codage binaire) ; N bascules pouvant coder 2 N états ; les couches combinatoires sont alors complexes et le système lent. La solution choisie dépend de la manière dont va être écrit le programme. Machine de Mealy Dans certains cas, on peut souhaiter des sorties fonctionnant de manière asynchrones, la structure est alors modifiée pour conduire à une machine de type Mealy : horloge entrées couche combinatoire calcul de l'état futur état futur registre d'état état actuel couche combinatoire calcul des sorties sorties On modifie parfois le diagramme d état de ce type de machine en précisant la valeur des sorties (0101 puis 1001 dans l exemple) non plus dans la bulle d état, mais dans la transition (ou vergent) avec la condition de passage (A puis B dans l exemple). A 0101 EX B 1001 On pourrait par exemple souhaiter une ré-initialisation asynchrone vers la valeur «1001» des sorties des phases de notre moteur par une entrée RINIT (l entrée de sens à été supprimée afin de simplifier). RINIT 1001 E1 RINIT 1010 E RINIT 1001 RINIT 0110 E4 RINIT 1001 E3 RINIT 0101 Les sorties ne dépendent plus cette fois de l état actif, mais également de l entrée RINIT. Une réalisation possible étant donnée par le programme ci-après : Denis Rabasté 5/16 IUFM Aix Marseille

6 library ieee; use ieee.std_logic_1164.all; entity CDE_MOT_M4 is port( H, RINIT: in std_logic; ORTIE : out std_logic_vector (3 downto 0)); end CDE_MOT_M4; architecture ARC_CDE OF CDE_MOT_M4 is type TYPE_ETAT is ( E1, E2, E3, E4); signal X:TYPE_ETAT; begin process (H) begin if H'event and H = '1' then case X is when E1 => if RINIT='1' then X <= E1; else X <= E2; when E2 => if RINIT='1' then X <= E1; else X <= E3; when E3 => if RINIT='1' then X <= E1; else X <= E4; end process; when others => X <= E1; end case; ORTIE <= "1010" when (X=E1 and RINIT='0') else "0110" when (X=E2 and RINIT='0') else "0101" when (X=E3 and RINIT='0') else "1001"; end ARC_CDE; ur les chronogrammes suivant, on note bien le caractère asynchrone des changements de valeurs en sortie lors de l initialisation, avec des changements d état qui restent par contre synchrones de l horloge. Denis Rabasté 6/16 IUFM Aix Marseille

7 Exemple 3 : diviseur de fréquence. Le nombre d états d un système n est pas lié au nombre d états possibles des sorties. Considérons par exemple un diviseur de fréquence fournissant une impulsion en sortie toutes les quatre impulsions du signal d horloge. Pour synthétiser un tel diviseur, il ne suffit évidemment pas de prendre en compte les deux états possibles de la sortie, mais tous les états intermédiaires du système. E1 0 E2 0 E4 1 E3 0 Un exemple de programme est donné ci-après : library ieee; use ieee.std_logic_1164.all; entity div4 is port( H : in std_logic; : out std_logic); end div4; architecture ARC_div OF div4 is type TYPE_ETAT is (E1, E2, E3, E4); signal X:TYPE_ETAT; begin process (H) begin if H'event and H = '1' then case X is when E1 => X <= E2; when E2 => X <= E3; when E3 => X <= E4; when others => X <= E1; end case; end process; <= '1' when X=E4 else '0'; end ARC_div; Denis Rabasté 7/16 IUFM Aix Marseille

8 Exemple 4 : comparateur 3 états d une boucle à verrouillage de phase. Cet exemple met en évidence la possibilité d utiliser les machines d état afin de synthétiser un système asynchrone, ici le comparateur 3 états d une boucle à verrouillage de phase semi-numérique. Nous nous contenterons d étudier le diagramme d état, la transcription en VHDL étant fastidieuse. Les chronogrammes suivants rappellent le fonctionnement :si les fronts des signaux d entrée arrivent en même temps, la sortie PC2 OUT du comparateur reste en haute impédance (pas de changement à l entrée de l OCT), si le front montant du signal référence IGN IN arrive en premier, la sortie passe au niveau logique 1 jusqu à l arrivée du front montant du signal de contre réaction COMP IN (augmentation de la tension d entrée de l OCT), la sortie passant à 0 entre les deux fronts si le signal de contre réaction est en avance. Le constructeur donne alors le diagramme d état suivant : On notera que le constructeur s est autorisé quelques liberté avec le formalisme habituel des diagrammes d état. Les états hachurés correspondent à une sortie en haute impédance, les états blancs de gauche à un niveau logique 1 en sortie, ceux de droite à un niveau logique 0. Les changements d état sont provoqués par les fronts montant des signaux (IGN IN ) et C (COMP IN ). Denis Rabasté 8/16 IUFM Aix Marseille

9 Description d une machine d état à l aide de l utilitaire de Quartus Le logiciel Quartus nous permet de décrire directement graphiquement notre fonctionnement par une machine d état. Il est cependant important de comprendre qu il ne s agit que d une aide, dont le but est de générer un fichier HDL (Hardware Description Language), par exemple en VHDL, fichier qui servira de base de départ aux différentes simulations et compilations. La syntaxe générée par l éditeur de machine d état de Quartus est un peu différente de celle que nous avons étudiée précédemment, le programme suivant correspondant à notre première description d une commande de moteur pas à pas à un seul sens de rotation : LIBRARY ieee; UE ieee.std_logic_1164.all; ENTITY ME I PORT ( END ME; clock : IN TD_LOGIC; reset : IN TD_LOGIC := '0'; ORTIE : OUT TD_LOGIC_VECTOR(3 DOWNTO 0)); ARCHITECTURE BEHAVIOR OF ME I TYPE type_fstate I (ETAT1,ETAT2,ETAT3,ETAT4); IGNAL fstate : type_fstate; IGNAL reg_fstate : type_fstate; BEGIN PROCE (clock,reg_fstate) BEGIN IF (clock='1' AND clock'event) THEN END IF; END PROCE; fstate <= reg_fstate; PROCE (fstate,reset) BEGIN IF (reset='1') THEN reg_fstate <= ETAT1; ORTIE <= "0000"; ELE ORTIE <= "0000"; CAE fstate I WHEN ETAT1 => reg_fstate <= ETAT2; ORTIE <= "0001"; WHEN ETAT2 => reg_fstate <= ETAT3; ORTIE <= "0010"; WHEN ETAT3 => reg_fstate <= ETAT4; ORTIE <= "0100"; WHEN ETAT4 => reg_fstate <= ETAT1; ORTIE <= "1000"; WHEN OTHER => ORTIE <= "XXXX"; report "Reach undefined state"; END CAE; END IF; END PROCE; END BEHAVIOR; On notera la déclaration de deux registre d état, le premier «fstate» mémorisant l état présent, le second «reg_fstate» mémorisant l état futur en fonction d éventuelles entrées. L état futur devient l état présent à chaque coup d horloge dans le premier «process». Exemple d utilisation Reprenons la commande d un moteur pas à pas, de quatre phases unipolaire décrit en annexe. Nous allons synthétiser une machine d état proposant ces deux modes de fonctionnement pour les deux sens de rotation, en fonction de deux entrées : - pour le sens ; - P pour un fonctionnement pas entier une phase ou demi-pas. i P est au NL1 on passe par tous les états 1, 2, 3, 4, 5, 6, 7, 8, 1 dans cet ordre ou l inverse suivant la valeur de. Denis Rabasté 9/16 IUFM Aix Marseille

10 i P est au NL0, seuls les états pairs seront actifs, dans l ordre 2, 4, 6, 8, 2 ou l inverse suivant la valeur de. On pourrait imaginer aussi une entrée proposant un mode demi-pas deux phases, où seuls les états impairs seraient actifs. Après avoir ouvert un projet par les méthodes habituelles, ouvrir une feuille de description de machine d état par «file» «new» puis «tates Machine File» : Il est alors possible de décrire notre machine, soit directement sur la feuille à partir des outils proposés, soit à l aide de l assistant «tate Machine Wizard» de la barre d outils, comme nous allons le faire : L assistant propose, soit de créer une nouvelle machine (notre option pour l instant), soit d en modifier une existante ; il sera en effet toujours possible de modifier notre description, soit à l aide de l assistant, soit par les outils classiques. Denis Rabasté 10/16 IUFM Aix Marseille

11 Préciser ensuite que l on souhaite une machine synchrone, avec une réinitialisation active à l état bas (l appui sur les boutons poussoirs de la carte DE2 provoque un NL0) et des sorties mémorisées dans un registre. Dans la fenêtre suivant, commencer par déclarer tous les états possibles de E1 à E8 (pour déclarer un nouvel état, faire un double clic dans la case correspondante) : Déclarer ensuite les ports d entrée (par défaut «clock» et «reset» existent déjà), puis les transitions permettant de passer d un état à l autre. Règles de syntaxes : - un bus de N bits en entrée ou en sortie peut être déclaré par «Bus[N-1 :0]» ; - dans les transitions les opérations logiques s écrivent : o ~ pour le NON (Alt Gr 2) ; o & pour le ET ; o pour le OU (Alt Gr 6) ; o ^ pour le OU EXCLUIF ; - la valeur d un bus est par défaut en décimal, mais peut s écrire en binaire par «b » par exemple ou en hexadécimal par «h3a» par exemple ; - les conditions de transition peuvent être des comparaisons : o == pour égal ; o!= pour différent ; o > pour supérieur ; o < pour inférieur ; o >= pour supérieur ou égal ; o <= pour inférieur ou égal. Entrer ensuite les différentes transitions pour passer d un état à l autre (il est conseillé, vu la syntaxe un peu lourde, d utiliser le «copier coller») : L étape suivante consiste à déclarer les sorties et préciser leurs valeurs pour chaque état : Denis Rabasté 11/16 IUFM Aix Marseille

12 Nous déclarerons une sortie destinée à commander les phases du moteur «PH[3 :0]» et une permettant éventuellement de visualiser sur la carte DE2 l état actif (sous réserve de l afficher via un décodeur sur un des afficheurs 7 segments par exemples, et sous réserve que l horloge soit suffisamment lente pour permettre une lecture). La dernière page récapitule les états, les entrées et les sorties. Le diagramme d état résultant s affiche alors (il est parfois nécessaire d arranger un peu l agencement des états et transitions pour avoir un schéma lisible) : On peut noter une flèche pointant vers l état E1, indiquant que la remise à 0 de la machine rendra cet état actif par défaut, car c est le premier que nous avons déclaré. i cette situation ne convient pas, il est possible de modifier par l onglet «tates» de la zone «tate Table» (la faire éventuellement apparaître avec l outil approprié de la barre d outils). Denis Rabasté 12/16 IUFM Aix Marseille

13 Comme indiqué au début, il est possible de modifier à loisir la machine d état, soit en utilisant la barre d outils, soit en ouvrant de nouveau l assistant : Une fois le résultat satisfaisant, il est ensuite nécessaire de générer le fichier HDL pour programmer le circuit, en précisant que l on souhaite une description VHDL : Le fichier VHDL s ouvre alors ; il est parfois nécessaire de le vérifier. Dans notre cas par exemple, l action du «reset» a pour effet de mettre la machine dans l état E1 comme convenu, mais également de mettre tous les bits des bus PH[3 :0] et ETAT[3 :0] au NL0. Denis Rabasté 13/16 IUFM Aix Marseille

14 Il est possible de modifier le programme à volonté, pour changer la valeur des sorties lors de l initialisation par exemple : BEGIN IF (reset='0') THEN reg_fstate <= E1; reg_ph <= "0101"; reg_etat <= "0001"; ELE reg_ph <= "0101"; reg_etat <= "0001"; CAE fstate I WHEN E1 => Il sera maintenant nécessaire d effectuer les opérations habituelles sur ce type de projet (vérification, simulation, génération de symbole, compilation etc ) pour finaliser le projet. Remarque importante : La machine d état est incrémentée par une horloge «clock» qui doit être de fréquence faible (quelques khz maximum) pour commander un moteur pas à pas. i on utilise la carte DE2 avec ses horloges à 27 et 50 MHz, il sera nécessaire d implanter un diviseur de fréquence. Il est impératif, pour que le système reste synchrone et ne génère pas systématiquement des états aléatoires, d ajouter une entrée de validation d horloge «C_EN» par exemple. Le diviseur fera passer C_EN au NL1 tous les N coups de l horloge principale (si on souhaite un pas par secondes avec l horloge à 50 MHz, alors N vaudra 50 millions). Il suffit alors d ajouter «& C_EN» à toutes les conditions de transition de notre machine d état pour obtenir un fonctionnement correct. Bibliographie Electronique numérique et séquentielle par N. Richard chez Dunod Logique programmable par L. Dutrieux et D. Demigny chez Eyrolles Modélisation de systèmes intégrés numériques par A. Vachoux sur : Denis Rabasté 14/16 IUFM Aix Marseille

15 Annexe : principe de fonctionnement du moteur pas à pas Un moteur pas à pas peut être schématiquement représenté par la figure suivante : Il peut fonctionner en mode pas entier une phase : une seule phase est alimentée à la fois, comme l indique la figure suivante : Les chronogrammes et la machine d état associés sont représentés ci-après : Mais il peut aussi fonctionner en mode demi-pas, ou on alimente alternativement une phase, puis deux, permettant ainsi de doubler le nombre de pas par tour : Denis Rabasté 15/16 IUFM Aix Marseille

16 On peut également envisager un mode pas entier 2 phases alimentés, ce qui revient à fonctionner dans les états impairs 1, 3, 5, 7, 1. : le nombre de pas est identique au mode pas entier 1 phase alimentée, mais le couple moteur est augmenté par l alimentation de deux bobines simultanément (d un rapport 2 dans notre exemple simple). Pour commander un moteur 2 phases bipolaires au lieu d un 4 phases unipolaires, il suffit d associer la phase A du moteur unipolaire au passage d un courant positif dans la première bobine du moteur bipolaire, et la phase B à celui d un courant négatif dans cette même bobine (même raisonnement avec les phase C et D et la seconde bobine) comme lors de l association des circuits L297 et L298, dont un extrait de la documentation est reproduit ci-après. Denis Rabasté 16/16 IUFM Aix Marseille

Manipulations du laboratoire

Manipulations du laboratoire Manipulations du laboratoire 1 Matériel Les manipulations de ce laboratoire sont réalisées sur une carte électronique comprenant un compteur 4-bit asynchrone (74LS93) avec possibilité de déclenchement

Plus en détail

SIN-FPGA DESCRIPTION PAR SCHEMA

SIN-FPGA DESCRIPTION PAR SCHEMA SIN-FPGA DESCRIPTION PAR SCHEMA Documents ressources: http://www.altera.com/literature/lit-index.html Introduction to Quartus II : intro_to_quartus2.pdf Documentation QUARTUS II : quartusii_handbook.pdf

Plus en détail

FONCTION COMPTAGE BINAIRE ET DIVISION DE FRÉQUENCE

FONCTION COMPTAGE BINAIRE ET DIVISION DE FRÉQUENCE I/ GÉNÉRALITÉS I.1/ Fonction Un compteur binaire est utilisé : -pour compter un certain nombre d'évènements binaires -pour diviser la fréquence d'un signal logique par 2 m Page 1 FONCTION COMPTAGE BINAIRE

Plus en détail

QUESTION 1 {2 points}

QUESTION 1 {2 points} ELE4301 Systèmes logiques II Page 1 de 8 QUESTION 1 {2 points} En se servant de paramètres électriques donnés dans le Tableau 1 ci-dessous, on désire déterminer la fréquence d opération du compteur présenté

Plus en détail

IFT1215 Introduction aux systèmes informatiques

IFT1215 Introduction aux systèmes informatiques Introduction aux circuits logiques de base IFT25 Architecture en couches Niveau 5 Niveau 4 Niveau 3 Niveau 2 Niveau Niveau Couche des langages d application Traduction (compilateur) Couche du langage d

Plus en détail

GPA770 Microélectronique appliquée Exercices série A

GPA770 Microélectronique appliquée Exercices série A GPA770 Microélectronique appliquée Exercices série A 1. Effectuez les calculs suivants sur des nombres binaires en complément à avec une représentation de 8 bits. Est-ce qu il y a débordement en complément

Plus en détail

Architecture des ordinateurs TD1 - Portes logiques et premiers circuits

Architecture des ordinateurs TD1 - Portes logiques et premiers circuits Architecture des ordinateurs TD1 - Portes logiques et premiers circuits 1 Rappel : un peu de logique Exercice 1.1 Remplir la table de vérité suivante : a b a + b ab a + b ab a b 0 0 0 1 1 0 1 1 Exercice

Plus en détail

Le langage VHDL. Eduardo Sanchez EPFL

Le langage VHDL. Eduardo Sanchez EPFL Le langage VHDL Eduardo Sanchez EPFL Livres conseillés: John F. Wakerly Digital design (4th edition) Prentice Hall, 2005 Peter J. Ashenden The designer's guide to VHDL (3rd edition) Morgan Kaufmann, 2008

Plus en détail

VIII- Circuits séquentiels. Mémoires

VIII- Circuits séquentiels. Mémoires 1 VIII- Circuits séquentiels. Mémoires Maintenant le temps va intervenir. Nous avions déjà indiqué que la traversée d une porte ne se faisait pas instantanément et qu il fallait en tenir compte, notamment

Plus en détail

ET 24 : Modèle de comportement d un système Boucles de programmation avec Labview.

ET 24 : Modèle de comportement d un système Boucles de programmation avec Labview. ET 24 : Modèle de comportement d un système Boucles de programmation avec Labview. Sciences et Technologies de l Industrie et du Développement Durable Formation des enseignants parcours : ET24 Modèle de

Plus en détail

T. BLOTIN Lycée Paul-Eluard 93206 SAINT-DENIS

T. BLOTIN Lycée Paul-Eluard 93206 SAINT-DENIS T. BLOTIN Lycée Paul-Eluard 93206 SAINT-DENIS SOMMAIRE I. Le VHDL pour qui, pourquoi, quand, comment? A. Le VHDL!...... 1 B. Pourquoi un langage de description?...... 1 C. Les limites actuelles...... 2

Plus en détail

ELP 304 : Électronique Numérique. Cours 1 Introduction

ELP 304 : Électronique Numérique. Cours 1 Introduction ELP 304 : Électronique Numérique Cours 1 Introduction Catherine Douillard Dépt Électronique Les systèmes numériques : généralités (I) En électronique numérique, le codage des informations utilise deux

Plus en détail

Conception Systèmes numériques VHDL et synthèse automatique des circuits

Conception Systèmes numériques VHDL et synthèse automatique des circuits Année 2011-2012 Conception Systèmes numériques VHDL et synthèse automatique des circuits Travaux pratiques WIDEMACV1 LAAS-CNRS 2011 Présentation du simulateur VHDL sous environnement Cadence Présentation

Plus en détail

Conception Systèmes numériques VHDL et synthèse automatique des circuits

Conception Systèmes numériques VHDL et synthèse automatique des circuits Année 2008-2009 Conception Systèmes numériques VHDL et synthèse automatique des circuits Travaux pratiques Pentium4 Présentation du simulateur VHDL sous environnement Cadence Présentation de l outil Synopsys

Plus en détail

ASR1 TD7 : Un microprocesseur RISC 16 bits

ASR1 TD7 : Un microprocesseur RISC 16 bits {Â Ö Ñ º ØÖ Ý,È ØÖ ºÄÓ Ù,Æ ÓÐ ºÎ ÝÖ Ø¹ ÖÚ ÐÐÓÒ} Ò ¹ÐÝÓÒº Ö ØØÔ»»Ô Ö Óº Ò ¹ÐÝÓÒº Ö» Ö Ñ º ØÖ Ý»¼ Ö½» ASR1 TD7 : Un microprocesseur RISC 16 bits 13, 20 et 27 novembre 2006 Présentation générale On choisit

Plus en détail

Travaux Pratiques de Commande par ordinateur 1 TRAVAUX PRATIQUES

Travaux Pratiques de Commande par ordinateur 1 TRAVAUX PRATIQUES TRAVAUX PRATIQUES Le présent travail vise à développer une interface visuelle de programmation des entrées- sorties du port LPT d un PC à l aide du logiciel VISUAL BASIC. I- EDITION ET TEST DU PROGRAMME

Plus en détail

Conception de circuits numériques et architecture des ordinateurs

Conception de circuits numériques et architecture des ordinateurs Conception de circuits numériques et architecture des ordinateurs Frédéric Pétrot Année universitaire 2014-2015 Structure du cours C1 C2 C3 C4 C5 C6 C7 C8 C9 C10 C11 Codage des nombres en base 2, logique

Plus en détail

Représentation d un entier en base b

Représentation d un entier en base b Représentation d un entier en base b 13 octobre 2012 1 Prérequis Les bases de la programmation en langage sont supposées avoir été travaillées L écriture en base b d un entier est ainsi défini à partir

Plus en détail

EPREUVE OPTIONNELLE d INFORMATIQUE CORRIGE

EPREUVE OPTIONNELLE d INFORMATIQUE CORRIGE EPREUVE OPTIONNELLE d INFORMATIQUE CORRIGE QCM Remarque : - A une question correspond au moins 1 réponse juste - Cocher la ou les bonnes réponses Barème : - Une bonne réponse = +1 - Pas de réponse = 0

Plus en détail

MICROINFORMATIQUE NOTE D APPLICATION 1 (REV. 2011) ARITHMETIQUE EN ASSEMBLEUR ET EN C

MICROINFORMATIQUE NOTE D APPLICATION 1 (REV. 2011) ARITHMETIQUE EN ASSEMBLEUR ET EN C Haute Ecole d Ingénierie et de Gestion Du Canton du Vaud MICROINFORMATIQUE NOTE D APPLICATION 1 (REV. 2011) ARITHMETIQUE EN ASSEMBLEUR ET EN C Programmation en mode simulation 1. DOCUMENTS DE RÉFÉRENCE...

Plus en détail

Conversion d un entier. Méthode par soustraction

Conversion d un entier. Méthode par soustraction Conversion entre bases Pour passer d un nombre en base b à un nombre en base 10, on utilise l écriture polynomiale décrite précédemment. Pour passer d un nombre en base 10 à un nombre en base b, on peut

Plus en détail

Architecture des ordinateurs

Architecture des ordinateurs Architecture des ordinateurs Cours 4 5 novembre 2012 Archi 1/22 Micro-architecture Archi 2/22 Intro Comment assembler les différents circuits vus dans les cours précédents pour fabriquer un processeur?

Plus en détail

Millenium3 Atelier de programmation

Millenium3 Atelier de programmation Millenium3 Millenium 3 Millenium3 1. Aide en ligne CLSM3... 2 1.1 Présentation de l'atelier de programmation... 2 1.1.1 Présentation de l'atelier de programmation... 2 1.2 Comment débuter avec l'atelier

Plus en détail

Organigramme / Algorigramme Dossier élève 1 SI

Organigramme / Algorigramme Dossier élève 1 SI Organigramme / Algorigramme Dossier élève 1 SI CI 10, I11 ; CI 11, I10 C24 Algorithmique 8 février 2009 (13:47) 1. Introduction Un organigramme (ou algorigramme, lorsqu il est plus particulièrement appliqué

Plus en détail

I- Définitions des signaux.

I- Définitions des signaux. 101011011100 010110101010 101110101101 100101010101 Du compact-disc, au DVD, en passant par l appareil photo numérique, le scanner, et télévision numérique, le numérique a fait une entrée progressive mais

Plus en détail

Créer le schéma relationnel d une base de données ACCESS

Créer le schéma relationnel d une base de données ACCESS Utilisation du SGBD ACCESS Polycopié réalisé par Chihab Hanachi et Jean-Marc Thévenin Créer le schéma relationnel d une base de données ACCESS GENERALITES SUR ACCESS... 1 A PROPOS DE L UTILISATION D ACCESS...

Plus en détail

Chap17 - CORRECTİON DES EXERCİCES

Chap17 - CORRECTİON DES EXERCİCES Chap17 - CORRECTİON DES EXERCİCES n 3 p528 Le signal a est numérique : il n y a que deux valeurs possibles pour la tension. Le signal b n est pas numérique : il y a alternance entre des signaux divers

Plus en détail

Algorithmique des Systèmes Répartis Protocoles de Communications

Algorithmique des Systèmes Répartis Protocoles de Communications Algorithmique des Systèmes Répartis Protocoles de Communications Master Informatique Dominique Méry Université de Lorraine 1 er avril 2014 1 / 70 Plan Communications entre processus Observation et modélisation

Plus en détail

SUR MODULE CAMÉRA C38A (OV7620)

SUR MODULE CAMÉRA C38A (OV7620) Applications maquette d'étude EP10K20 DÉMULTIPLEXEUR BT.656 SUR MODULE CAMÉRA C38A OV7620 SCHÉMAS ET DESCRIPTIONS AHDL 1. Schéma principal Le démultiplexeur proprement dit est la fonction "Decod_BT656_1".

Plus en détail

Licence ST Université Claude Bernard Lyon I LIF1 : Algorithmique et Programmation C Bases du langage C 1 Conclusion de la dernière fois Introduction de l algorithmique générale pour permettre de traiter

Plus en détail

Logique séquentielle

Logique séquentielle Bascules et logique séquentielle aniel Etiemble de@lri.fr Logique séquentielle Logique séquentielle Le système a des «états» ans un système séquentiel Éléments de mémorisation Les sorties dépendent des

Plus en détail

Concept de machine virtuelle

Concept de machine virtuelle Concept de machine virtuelle Chap. 5: Machine virtuelle Alain Sandoz Semestre été 2007 1 Introduction: Java Virtual Machine Machine Virtuelle Java: qu est-ce que c est? c est la spécification d une machine

Plus en détail

Université de La Rochelle. Réseaux TD n 6

Université de La Rochelle. Réseaux TD n 6 Réseaux TD n 6 Rappels : Théorème de Nyquist (ligne non bruitée) : Dmax = 2H log 2 V Théorème de Shannon (ligne bruitée) : C = H log 2 (1+ S/B) Relation entre débit binaire et rapidité de modulation :

Plus en détail

Codage d information. Codage d information : -Définition-

Codage d information. Codage d information : -Définition- Introduction Plan Systèmes de numération et Représentation des nombres Systèmes de numération Système de numération décimale Représentation dans une base b Représentation binaire, Octale et Hexadécimale

Plus en détail

Auto formation à Zelio logic

Auto formation à Zelio logic Auto formation à Zelio logic 1 Les Produits Félicitations, vous avez choisi l'un des produits Zelio 2 suivants : 2 Environnement Le Zelio Logic est programmable à l'aide du logiciel Zelio Soft ou en Saisie

Plus en détail

Logique binaire. Aujourd'hui, l'algèbre de Boole trouve de nombreuses applications en informatique et dans la conception des circuits électroniques.

Logique binaire. Aujourd'hui, l'algèbre de Boole trouve de nombreuses applications en informatique et dans la conception des circuits électroniques. Logique binaire I. L'algèbre de Boole L'algèbre de Boole est la partie des mathématiques, de la logique et de l'électronique qui s'intéresse aux opérations et aux fonctions sur les variables logiques.

Plus en détail

SCL LOGICIEL DE CONTROL

SCL LOGICIEL DE CONTROL SCL LOGICIEL DE CONTROL Version 1.3 MRC AUDIO LD- 500 www.mrcaudio.com 1 Contenu 1 - Bienvenu a MRC AUDIO SCL v1.3 2 - Installation du logiciel 3 - Configuration du programme SCL 4 - Contrôle des installations

Plus en détail

IV- Comment fonctionne un ordinateur?

IV- Comment fonctionne un ordinateur? 1 IV- Comment fonctionne un ordinateur? L ordinateur est une alliance du hardware (le matériel) et du software (les logiciels). Jusqu à présent, nous avons surtout vu l aspect «matériel», avec les interactions

Plus en détail

Génie Logiciel avec Ada. 4 février 2013

Génie Logiciel avec Ada. 4 février 2013 Génie Logiciel 4 février 2013 Plan I. Généralités II. Structures linéaires III. Exceptions IV. Structures arborescentes V. Dictionnaires I. Principes II. Notions propres à la POO I. Principes Chapitre

Plus en détail

Atelier C TIA Portal CTIA04 : Programmation des automates S7-300 Opérations numériques

Atelier C TIA Portal CTIA04 : Programmation des automates S7-300 Opérations numériques Atelier C TIA Portal CTIA04 : Programmation des automates S7-300 Opérations numériques CTIA04 Page 1 1. Les types de données sous S7 300 Il existe plusieurs types de données utilisées pour la programmation

Plus en détail

SYSTEME DE PALPAGE A TRANSMISSION RADIO ETUDE DU RECEPTEUR (MI16) DOSSIER DE PRESENTATION. Contenu du dossier :

SYSTEME DE PALPAGE A TRANSMISSION RADIO ETUDE DU RECEPTEUR (MI16) DOSSIER DE PRESENTATION. Contenu du dossier : SYSTEME DE PALPAGE A TRANSMISSION RADIO ETUDE DU RECEPTEUR (MI16) DOSSIER DE PRESENTATION Contenu du dossier : 1. PRESENTATION DU SYSTEME DE PALPAGE A TRANSMISSION RADIO....1 1.1. DESCRIPTION DU FABRICANT....1

Plus en détail

Éléments d'architecture des ordinateurs

Éléments d'architecture des ordinateurs Chapitre 1 Éléments d'architecture des ordinateurs Machines take me by surprise with great frequency. Alan Turing 1.1 Le Hardware Avant d'attaquer la programmation, il est bon d'avoir quelques connaissances

Plus en détail

Table des matières PRESENTATION DU LANGAGE DS2 ET DE SES APPLICATIONS. Introduction

Table des matières PRESENTATION DU LANGAGE DS2 ET DE SES APPLICATIONS. Introduction PRESENTATION DU LANGAGE DS2 ET DE SES APPLICATIONS Depuis SAS 9.2 TS2M3, SAS propose un nouveau langage de programmation permettant de créer et gérer des tables SAS : le DS2 («Data Step 2»). Ces nouveautés

Plus en détail

Initiation à l algorithmique

Initiation à l algorithmique Informatique S1 Initiation à l algorithmique procédures et fonctions 2. Appel d une fonction Jacques TISSEAU Ecole Nationale d Ingénieurs de Brest Technopôle Brest-Iroise CS 73862-29238 Brest cedex 3 -

Plus en détail

Cours Informatique 1. Monsieur SADOUNI Salheddine

Cours Informatique 1. Monsieur SADOUNI Salheddine Cours Informatique 1 Chapitre 2 les Systèmes Informatique Monsieur SADOUNI Salheddine Un Système Informatique lesystème Informatique est composé de deux parties : -le Matériel : constitué de l unité centrale

Plus en détail

Quoi de neuf en LabVIEW FPGA 2010?

Quoi de neuf en LabVIEW FPGA 2010? Quoi de neuf en LabVIEW FPGA 2010? Yannick DEGLA Ingénieur d Application Fonctionnalités de LabVIEW FPGA 2010 Nœud d intégration d IP - Importer directement des fichiers.xco de Xilinx ou vos propres VHDL

Plus en détail

Extrait des Exploitations Pédagogiques

Extrait des Exploitations Pédagogiques Pédagogiques Module : Compétitivité et créativité CI Première : Compétitivité et créativité CI institutionnel : Développement durable et compétitivité des produits Support : Robot - O : Caractériser les

Plus en détail

1/24. I passer d un problème exprimé en français à la réalisation d un. I expressions arithmétiques. I structures de contrôle (tests, boucles)

1/24. I passer d un problème exprimé en français à la réalisation d un. I expressions arithmétiques. I structures de contrôle (tests, boucles) 1/4 Objectif de ce cours /4 Objectifs de ce cours Introduction au langage C - Cours Girardot/Roelens Septembre 013 Du problème au programme I passer d un problème exprimé en français à la réalisation d

Plus en détail

AMICUS 18 (2ème partie) 4) Présentation du logiciel Amicus IDE

AMICUS 18 (2ème partie) 4) Présentation du logiciel Amicus IDE AMICUS 18 (2ème partie) Dans la première partie, nous avions présenté la platine Amicus 18 et nous avions réalisé quelques montages simples. Nous allons découvrir un peu mieux la programmation. Dans la

Plus en détail

CONFIGURATION DE L AUTOMATE SIEMENS

CONFIGURATION DE L AUTOMATE SIEMENS CONFIGURATION DE L AUTOMATE SIEMENS Créer un projet Dans le bureau de Windows, double-cliquer sur l icône «SIMATIC Manager» : Cliquer ensuite sur l icône «nouveau» : Choisir un nom de projet et valider

Plus en détail

Le multiplexage. Sommaire

Le multiplexage. Sommaire Sommaire Table des matières 1- GENERALITES... 2 1-1 Introduction... 2 1-2 Multiplexage... 4 1-3 Transmission numérique... 5 2- LA NUMERATION HEXADECIMALE Base 16... 8 3- ARCHITECTURE ET PROTOCOLE DES RESEAUX...

Plus en détail

REALISATION D UNE CALCULATRICE GRACE AU LOGICIEL CROCODILE CLIPS 3.

REALISATION D UNE CALCULATRICE GRACE AU LOGICIEL CROCODILE CLIPS 3. 1 sur 6 REALISATION D UNE CALCULATRICE GRACE AU LOGICIEL CROCODILE CLIPS 3. OBJECTIF - PUBLIC - LOGICIEL - MATERIEL - METHODE - BIBLIOGRAPHIE - AVANTAGES - DIFFICULTES - AUTEUR DU DOCUMENT - LE DOCUMENT

Plus en détail

UE 503 L3 MIAGE. Initiation Réseau et Programmation Web La couche physique. A. Belaïd

UE 503 L3 MIAGE. Initiation Réseau et Programmation Web La couche physique. A. Belaïd UE 503 L3 MIAGE Initiation Réseau et Programmation Web La couche physique A. Belaïd abelaid@loria.fr http://www.loria.fr/~abelaid/ Année Universitaire 2011/2012 2 Le Modèle OSI La couche physique ou le

Plus en détail

Info0101 Intro. à l'algorithmique et à la programmation. Cours 3. Le langage Java

Info0101 Intro. à l'algorithmique et à la programmation. Cours 3. Le langage Java Info0101 Intro. à l'algorithmique et à la programmation Cours 3 Le langage Java Pierre Delisle, Cyril Rabat et Christophe Jaillet Université de Reims Champagne-Ardenne Département de Mathématiques et Informatique

Plus en détail

Sélection du contrôleur

Sélection du contrôleur Démo CoDeSys - 1 - 1. Configuration de l environnement de travail : Lancer le logiciel CoDeSys Fichier Nouveau Lors de la première utilisation, une boîte de dialogue apparaît permettant la sélection du

Plus en détail

EES : Engineering Equation Solver Fiche récapitulative - Marie-Sophie Cabot

EES : Engineering Equation Solver Fiche récapitulative - Marie-Sophie Cabot EES : Engineering Equation Solver Fiche récapitulative - Marie-Sophie Cabot Permet la résolution de systèmes d équations algébriques, non linéaires Contient différentes bases de données thermodynamiques.

Plus en détail

TP1 : Initiation à Java et Eclipse

TP1 : Initiation à Java et Eclipse TP1 : Initiation à Java et Eclipse 1 TP1 : Initiation à Java et Eclipse Systèmes d Exploitation Avancés I. Objectifs du TP Ce TP est une introduction au langage Java. Il vous permettra de comprendre les

Plus en détail

Introduction à Eclipse

Introduction à Eclipse Introduction à Eclipse Eclipse IDE est un environnement de développement intégré libre (le terme Eclipse désigne également le projet correspondant, lancé par IBM) extensible, universel et polyvalent, permettant

Plus en détail

ARDUINO DOSSIER RESSOURCE POUR LA CLASSE

ARDUINO DOSSIER RESSOURCE POUR LA CLASSE ARDUINO DOSSIER RESSOURCE POUR LA CLASSE Sommaire 1. Présentation 2. Exemple d apprentissage 3. Lexique de termes anglais 4. Reconnaître les composants 5. Rendre Arduino autonome 6. Les signaux d entrée

Plus en détail

1.1 L EXPLORATEUR WINDOWS

1.1 L EXPLORATEUR WINDOWS Gérer les fichiers et les dossiers Cette partie du T.P. a pour objectifs de vous familiariser avec les méthodes pour copier, déplacer, effacer, renommer des dossiers et des fichiers. 1.1 L EXPLORATEUR

Plus en détail

Projet audio. Analyse des Signaux ELE2700

Projet audio. Analyse des Signaux ELE2700 ÉCOLE POLYTECHNIQUE DE MONTRÉAL Département de Génie Électrique Projet audio Analyse des Signaux ELE2700 Saad Chidami - 2014 Table des matières Objectif du laboratoire... 4 Caractérisation du bruit...

Plus en détail

3. SPÉCIFICATIONS DU LOGICIEL. de l'expression des besoins à la conception. Spécifications fonctionnelles Analyse fonctionnelle et méthodes

3. SPÉCIFICATIONS DU LOGICIEL. de l'expression des besoins à la conception. Spécifications fonctionnelles Analyse fonctionnelle et méthodes PLAN CYCLE DE VIE D'UN LOGICIEL EXPRESSION DES BESOINS SPÉCIFICATIONS DU LOGICIEL CONCEPTION DU LOGICIEL LA PROGRAMMATION TESTS ET MISE AU POINT DOCUMENTATION CONCLUSION C.Crochepeyre Génie Logiciel Diapason

Plus en détail

Transmissions série et parallèle

Transmissions série et parallèle 1. Introduction : Un signal numérique transmet généralement plusieurs digits binaires. Exemple : 01000001 ( huit bits). Dans une transmission numérique on peut envisager deux modes : les envoyer tous en

Plus en détail

Algorithme. Table des matières

Algorithme. Table des matières 1 Algorithme Table des matières 1 Codage 2 1.1 Système binaire.............................. 2 1.2 La numérotation de position en base décimale............ 2 1.3 La numérotation de position en base binaire..............

Plus en détail

Compression Compression par dictionnaires

Compression Compression par dictionnaires Compression Compression par dictionnaires E. Jeandel Emmanuel.Jeandel at lif.univ-mrs.fr E. Jeandel, Lif CompressionCompression par dictionnaires 1/25 Compression par dictionnaire Principe : Avoir une

Plus en détail

Manuel d utilisation 26 juin 2011. 1 Tâche à effectuer : écrire un algorithme 2

Manuel d utilisation 26 juin 2011. 1 Tâche à effectuer : écrire un algorithme 2 éducalgo Manuel d utilisation 26 juin 2011 Table des matières 1 Tâche à effectuer : écrire un algorithme 2 2 Comment écrire un algorithme? 3 2.1 Avec quoi écrit-on? Avec les boutons d écriture........

Plus en détail

Equipement. électronique

Equipement. électronique MASTER ISIC Les générateurs de fonctions 1 1. Avant-propos C est avec l oscilloscope, le multimètre et l alimentation stabilisée, l appareil le plus répandu en laboratoire. BUT: Fournir des signau électriques

Plus en détail

Architecture des ordinateurs Introduction à l informatique

Architecture des ordinateurs Introduction à l informatique Architecture des ordinateurs Introduction à l informatique 17 septembre 2004 1 2 3 4 5 6 Les interrupteurs... 0V 5V Ce sont des composants électroniques qui laissent pser un courant principal lorsque la

Plus en détail

Système binaire. Algèbre booléenne

Système binaire. Algèbre booléenne Algèbre booléenne Système binaire Système digital qui emploie des signaux à deux valeurs uniques En général, les digits employés sont 0 et 1, qu'on appelle bits (binary digits) Avantages: on peut utiliser

Plus en détail

UE Programmation Impérative Licence 2ème Année 2014 2015

UE Programmation Impérative Licence 2ème Année 2014 2015 UE Programmation Impérative Licence 2 ème Année 2014 2015 Informations pratiques Équipe Pédagogique Florence Cloppet Neilze Dorta Nicolas Loménie prenom.nom@mi.parisdescartes.fr 2 Programmation Impérative

Plus en détail

On distingue deux grandes catégories de mémoires : mémoire centrale (appelée également mémoire interne)

On distingue deux grandes catégories de mémoires : mémoire centrale (appelée également mémoire interne) Mémoire - espace destiné a recevoir, conserver et restituer des informations à traiter - tout composant électronique capable de stocker temporairement des données On distingue deux grandes catégories de

Plus en détail

Programmation C. Apprendre à développer des programmes simples dans le langage C

Programmation C. Apprendre à développer des programmes simples dans le langage C Programmation C Apprendre à développer des programmes simples dans le langage C Notes de cours sont disponibles sur http://astro.u-strasbg.fr/scyon/stusm (attention les majuscules sont importantes) Modalités

Plus en détail

MICROCONTROLEURS PIC PROGRAMMATION EN C. V. Chollet - cours-pic-13b - 09/12/2012 Page 1 sur 44

MICROCONTROLEURS PIC PROGRAMMATION EN C. V. Chollet - cours-pic-13b - 09/12/2012 Page 1 sur 44 MICROCONTROLEURS PIC PROGRAMMATION EN C V. Chollet - cours-pic-13b - 09/12/2012 Page 1 sur 44 Chapitre 1 GENERALITES 1 DEFINITION Un microcontrôleur est un microprocesseur RISC (Reduced Instruction Set

Plus en détail

Chapitre 10 Arithmétique réelle

Chapitre 10 Arithmétique réelle Chapitre 10 Arithmétique réelle Jean Privat Université du Québec à Montréal INF2170 Organisation des ordinateurs et assembleur Automne 2013 Jean Privat (UQAM) 10 Arithmétique réelle INF2170 Automne 2013

Plus en détail

INTRODUCTION A L ELECTRONIQUE NUMERIQUE ECHANTILLONNAGE ET QUANTIFICATION I. ARCHITECTURE DE L ELECRONIQUE NUMERIQUE

INTRODUCTION A L ELECTRONIQUE NUMERIQUE ECHANTILLONNAGE ET QUANTIFICATION I. ARCHITECTURE DE L ELECRONIQUE NUMERIQUE INTRODUCTION A L ELECTRONIQUE NUMERIQUE ECHANTILLONNAGE ET QUANTIFICATION I. ARCHITECTURE DE L ELECRONIQUE NUMERIQUE Le schéma synoptique ci-dessous décrit les différentes étapes du traitement numérique

Plus en détail

J AUVRAY Systèmes Electroniques TRANSMISSION DES SIGNAUX NUMERIQUES : SIGNAUX EN BANDE DE BASE

J AUVRAY Systèmes Electroniques TRANSMISSION DES SIGNAUX NUMERIQUES : SIGNAUX EN BANDE DE BASE RANSMISSION DES SIGNAUX NUMERIQUES : SIGNAUX EN BANDE DE BASE Un message numérique est une suite de nombres que l on considérera dans un premier temps comme indépendants.ils sont codés le plus souvent

Plus en détail

À propos de ce Guide de Configuration EtherSound

À propos de ce Guide de Configuration EtherSound À propos de ce Guide de Configuration EtherSound Ces dernières années, on a vu apparaître un certain nombre de technologies réseau assurant la gestion et le contrôle centralisés d installations audio de

Plus en détail

Prise en main. Prise en main - 0

Prise en main. Prise en main - 0 Prise en main 0404 Prise en main - 0 1- Introduction Creative Professional Merci d avoir choisi le Digital Audio System 0404 d E-MU. Nous avons conçu ce produit E-MU pour qu il soit logique, intuitif et

Plus en détail

Présentation du site internet EcoleDirecte des Familles et Elèves

Présentation du site internet EcoleDirecte des Familles et Elèves Présentation du site internet EcoleDirecte des Familles et Elèves La vie scolaire de votre enfant sur www.ecoledirecte.com Septembre 2010 Sommaire 1 PRESENTATION DU SITE INTERNET ECOLEDIRECTE DEDIE AUX

Plus en détail

Information. BASES LITTERAIRES Etre capable de répondre à une question du type «la valeur trouvée respecte t-elle le cahier des charges?

Information. BASES LITTERAIRES Etre capable de répondre à une question du type «la valeur trouvée respecte t-elle le cahier des charges? Compétences générales Avoir des piles neuves, ou récentes dans sa machine à calculer. Etre capable de retrouver instantanément une info dans sa machine. Prendre une bouteille d eau. Prendre CNI + convocation.

Plus en détail

1 Introduction C+ + Algorithm e. languag. Algorigramm. machine binaire. 1-1 Chaîne de développement. Séance n 4

1 Introduction C+ + Algorithm e. languag. Algorigramm. machine binaire. 1-1 Chaîne de développement. Séance n 4 1 Introduction 1-1 Chaîne de développement Algorithm e C+ + Algorigramm e languag e machine binaire Le programme est écrit à l aide de Multiprog sous forme d algorigramme puis introduit dans le microcontrôleur

Plus en détail

ACTIVITÉ DE PROGRAMMATION

ACTIVITÉ DE PROGRAMMATION ACTIVITÉ DE PROGRAMMATION The purpose of the Implementation Process is to realize a specified system element. ISO/IEC 12207 Sébastien Adam Une introduction 2 Introduction Ø Contenu Utilité de l ordinateur,

Plus en détail

Expérience 3 Formats de signalisation binaire

Expérience 3 Formats de signalisation binaire Expérience 3 Formats de signalisation binaire Introduction Procédures Effectuez les commandes suivantes: >> xhost nat >> rlogin nat >> setenv DISPLAY machine:0 >> setenv MATLABPATH /gel/usr/telecom/comm_tbx

Plus en détail

Cours 1 : Introduction Ordinateurs - Langages de haut niveau - Application

Cours 1 : Introduction Ordinateurs - Langages de haut niveau - Application Université de Provence Licence Math-Info Première Année V. Phan Luong Algorithmique et Programmation en Python Cours 1 : Introduction Ordinateurs - Langages de haut niveau - Application 1 Ordinateur Un

Plus en détail

Formations spécifiques

Formations spécifiques Formations spécifiques Sensibilisation aux risques électriques et sécurité lors des interventions de maintenance Durée : 2 journées Tout technicien travaillant sur des installations industrielles automatisées

Plus en détail

Once the installation is complete, you can delete the temporary Zip files..

Once the installation is complete, you can delete the temporary Zip files.. Sommaire Installation... 2 After the download... 2 From a CD... 2 Access codes... 2 DirectX Compatibility... 2 Using the program... 2 Structure... 4 Lier une structure à une autre... 4 Personnaliser une

Plus en détail

Votre Réseau est-il prêt?

Votre Réseau est-il prêt? Adapter les Infrastructures à la Convergence Voix Données Votre Réseau est-il prêt? Conférence IDG Communications Joseph SAOUMA Responsable Offre ToIP Rappel - Définition Voix sur IP (VoIP) Technologie

Plus en détail

IMAGES NUMÉRIQUES MATRICIELLES EN SCILAB

IMAGES NUMÉRIQUES MATRICIELLES EN SCILAB IMAGES NUMÉRIQUES MATRICIELLES EN SCILAB Ce document, écrit par des animateurs de l IREM de Besançon, a pour objectif de présenter quelques unes des fonctions du logiciel Scilab, celles qui sont spécifiques

Plus en détail

Fiche Mémo : Options d accessibilité sous Windows et Internet Explorer 5

Fiche Mémo : Options d accessibilité sous Windows et Internet Explorer 5 Fiche Mémo : Options d accessibilité sous Windows et Internet Explorer 5 I. Général II. Affichage : taille icônes et écran III. Le menu «Options d accessibilité» : contraste, curseur IV. Le clavier V.

Plus en détail

Arithmétique binaire. Chapitre. 5.1 Notions. 5.1.1 Bit. 5.1.2 Mot

Arithmétique binaire. Chapitre. 5.1 Notions. 5.1.1 Bit. 5.1.2 Mot Chapitre 5 Arithmétique binaire L es codes sont manipulés au quotidien sans qu on s en rende compte, et leur compréhension est quasi instinctive. Le seul fait de lire fait appel au codage alphabétique,

Plus en détail

TABLE DES MATIÈRES 1. DÉMARRER ISIS 2 2. SAISIE D UN SCHÉMA 3 & ' " ( ) '*+ ", ##) # " -. /0 " 1 2 " 3. SIMULATION 7 " - 4.

TABLE DES MATIÈRES 1. DÉMARRER ISIS 2 2. SAISIE D UN SCHÉMA 3 & '  ( ) '*+ , ##) #  -. /0  1 2  3. SIMULATION 7  - 4. TABLE DES MATIÈRES 1. DÉMARRER ISIS 2 2. SAISIE D UN SCHÉMA 3! " #$ % & ' " ( ) '*+ ", ##) # " -. /0 " 1 2 " 3' & 3. SIMULATION 7 0 ( 0, - 0 - " - & 1 4. LA SOURIS 11 5. LES RACCOURCIS CLAVIER 11 STI Electronique

Plus en détail

PIC EVAL Dev Board PIC18F97J60

PIC EVAL Dev Board PIC18F97J60 PIC EVAL Dev Board PIC18F97J60 2 TP1 : Prise en main de l environnement de programmation pour la carte PIC EVAL-ANFA Pour répondre aux questions et justifier vos réponses, vous pouvez faire des copies

Plus en détail

USTL - Licence ST-A 1ère année 2005-2006 Codage de l information TP 1 :

USTL - Licence ST-A 1ère année 2005-2006 Codage de l information TP 1 : USTL - Licence ST-A 1ère année 2005-2006 Codage de l information TP 1 : Objectifs du TP Ce TP a pour but 1. de découvrir quelques opérations logiques sur les nombres 2. et quelques formats de fichiers.

Plus en détail

MEGA ITSM Accelerator. Guide de démarrage

MEGA ITSM Accelerator. Guide de démarrage MEGA ITSM Accelerator Guide de démarrage MEGA 2013 1ère édition (janvier 2013) Les informations contenues dans ce document pourront faire l objet de modifications sans préavis et ne sauraient en aucune

Plus en détail

TP: Représentation des signaux binaires. 1 Simulation d un message binaire - Codage en ligne

TP: Représentation des signaux binaires. 1 Simulation d un message binaire - Codage en ligne Objectifs : Ce TP est relatif aux différentes méthodes de codage d une information binaire, et à la transmission en bande de base de cette information. Les grandes lignes de ce TP sont l étude des méthodes

Plus en détail

RTDS G3. Emmanuel Gaudin emmanuel.gaudin@pragmadev.com

RTDS G3. Emmanuel Gaudin emmanuel.gaudin@pragmadev.com RTDS G3 Emmanuel Gaudin emmanuel.gaudin@pragmadev.com PragmaDev Dédiée au développement d un AGL pour le développement des applications temps réel et embarquées. Réseau de partenaires: Formations, Service,

Plus en détail

SIP. Plan. Introduction Architecture SIP Messages SIP Exemples d établissement de session Enregistrement

SIP. Plan. Introduction Architecture SIP Messages SIP Exemples d établissement de session Enregistrement SIP Nguyen Thi Mai Trang LIP6/PHARE Thi-Mai-Trang.Nguyen@lip6.fr UPMC - M2 Réseaux - UE PTEL 1 Plan Introduction Architecture SIP Messages SIP Exemples d établissement de session Enregistrement UPMC -

Plus en détail

IUT BREST UN LOGICIEL SCADA : PC VUE 2010 DEP.GMP

IUT BREST UN LOGICIEL SCADA : PC VUE 2010 DEP.GMP IUT BREST DEP.GMP UN LOGICIEL SCADA : PC VUE 2010 Table des matières 1. Introduction à la supervision- logiciel SCADA... 4 1.A. Définition d un logiciel SCADA /Supervision... 4 1.B. Ou trouve-t-on des

Plus en détail

USTL - Licence ST-A 1ère année 2005-2006 Initiation à la programmation TP 1

USTL - Licence ST-A 1ère année 2005-2006 Initiation à la programmation TP 1 USTL - Licence ST-A 1ère année 2005-2006 Initiation à la programmation TP 1 Objectifs du TP Ce TP a pour but de vous faire découvrir l environnement de travail que vous utiliserez dans le cadre des TP

Plus en détail