Richard Perdriau. Méthodologie de prédiction des niveaux d émission conduite dans les circuits intégrés, à l aide de VHDL-AMS

Dimension: px
Commencer à balayer dès la page:

Download "Richard Perdriau. Méthodologie de prédiction des niveaux d émission conduite dans les circuits intégrés, à l aide de VHDL-AMS"

Transcription

1 Université Catholique de Louvain - Faculté des Sciences Appliquées Laboratoire de Microélectronique (DICE) Thèse présentée par Richard Perdriau Ingénieur ESEO (France) en vue de l obtention du titre de Docteur en Sciences Appliquées Spécialité : électronique Méthodologie de prédiction des niveaux d émission conduite dans les circuits intégrés, à l aide de VHDL-AMS Soutenue publiquement le 25 mars 2004 devant le jury composé de : Mme Anne-Marie Trullemans-Anckaert (UCL-DICE) Promoteur M. Mohamed Ramdani (ESEO - Angers, France) Co-promoteur M. Denis Flandre (UCL-DICE) M. Jean-Pierre Raskin (UCL-EMIC) M. Yannick Hervé (PHASE - CNRS UPR292 - Illkirch, France) M. Jean-Didier Legat (Doyen FSA) Président

2 ii A tous ceux qui m ont répété tous les matins, au choix selon l humeur du jour : "Et alors, elle avance, ta thèse?" "Et ta thèse, quand est-ce que tu la soutiens?" "Alors, toujours pas finie cette thèse?" A tous ceux-là, je leur dis mer...ci!

3 iii Résumé Depuis de nombreuses années, la prise en compte des critères de compatibilité électromagnétique (CEM) constitue une étape capitale dans la conception des systèmes électroniques. Jusqu à maintenant, seuls les circuits imprimés étaient concernés par ces règles. Cependant, la diminution de la géométrie des transistors dans les circuits intégrés (90 nm à l heure actuelle en production, 60 nm en recherche) rend désormais indispensable l introduction de règles de conception orientée CEM à leur niveau. En effet, d une part l augmentation du nombre de commutations internes simultanées associée à des temps de montée de plus en plus faibles accroît l amplitude et l occupation spectrale des perturbations générées en interne, et d autre part la réduction des tensions d alimentation (0.9 V) agit directement sur la marge de bruit et par conséquent sur leur immunité vis à vis des perturbations extérieures. Il devient donc indispensable de prédire le comportement électromagnétique directement au niveau du silicium. Pour ce faire, un modèle de circuit intégré orienté CEM, appelé ICEM (Integrated Circuit Electromagnetic Model), est en cours de définition et proposition auprès de l UTE (Union Technique de l Electricité et de la communication, branche française de l International Electrotechnical Commission). Il doit permettre à terme aux concepteurs de circuits intégrés de fournir aux équipementiers une "boîte noire" représentant les perturbations générées par le circuit ainsi que son comportement en susceptibilité. Le modèle ICEM en émission inclut entre autres l activité interne instantanée du circuit due aux commutations. Afin de rendre ce modèle utilisable en termes de temps de simulation et de confidentialité, il est nécessaire de mettre en œuvre une méthodologie de représentation à haut niveau de cette activité instantanée. Parallèlement, l avènement du langage VHDL-AMS ouvre des perspectives nouvelles pour la modélisation haut niveau de circuits dans les deux mondes analogique et numérique. Dans le cas de composants complexes comme les microcontrôleurs et leurs mémoires associées, VHDL-AMS s impose donc naturellement comme le langage de référence pour notre étude. Dans un premier temps, les travaux effectués en CEM des composants et le langage VHDL-AMS sont présentés dans l esprit de notre démarche. Après validation du modèle ICEM sur un exemple industriel, une méthodologie globale de modélisation en VHDL- AMS orientée CEM de l activité dynamique en courant des mémoires statiques (SRAMs) embarquées est proposée à titre d exemple. Enfin, une extension de cette méthodologie au cas d un cœur de microcontrôleur (avec modélisation de l activité des entrées/sorties) est envisagée. Cette démarche sert de base à la proposition d une méthodologie globale de prédiction, avant fonderie, des niveaux d émission conduite dans les circuits intégrés. Les perspectives du travail réalisé couvrent le développement et la fourniture de propriété intellectuelle pour le modèle ICEM (ICEM-IP).

4 iv Mots-clés Compatibilité électromagnétique, ICEM, VHDL-AMS, modélisation, simulation, mémoires.

5 v Abstract For many years, electromagnetic compatibility (EMC) criteria have represented a critical step to be taken into account in electronic system design. Until recently, these rules only concerned printed circuit boards (PCBs). However, transistor geometry shrinking (production : 90 nm, research : 60 nm) in integrated circuits (ICs) triggers the development of EMC-oriented IC design rules : on one hand, an ever growing number of simultaneous internal switchings combined with shorter and shorter rise times increases the amplitude and broadens the spectrum of generated internal perturbations and, at the same time, power supply voltage reduction (0.9 V) influences their noise margin and consequently their immunity towards external perturbations. As a result, predicting electromagnetic behavior at silicon level becomes compulsory. For that purpose, an EMC-oriented IC model, called ICEM (Integrated Circuit Electromagnetic Model), is being developed and proposed by the UTE (Union Technique de l Electricité et de la communication, French International Electrotechnical Commission branch). This should allow integrated circuit designers to provide board manufacturers with a "black box" representing the perturbations generated by the IC as well as its immunity behavior. The ICEM emission model includes, among other things, the instantaneous internal activity of the chip due to switchings. In order to enhance its usefulness in terms of simulation times and confidentiality, the development of a high-level methodology representing this internal activity becomes compulsory. In addition to that, the advent of the VHDL-AMS language opens new perspectives in terms of mixed-signal, high-level modeling (in both analog and digital worlds). In the case of complex ICs such as microcontrollers and memories, VHDL-AMS is thus becoming the reference language for our studies. First of all, and previous research in EMC for integrated circuits as well as the VHDL-AMS language are introduced within the scope of our approach. After validating the ICEM model in an industrial case study, a global EMC-oriented, high-level VHDL-AMS modeling methodology of the dynamic current activity of embedded static memories (SRAMs) is suggested as an example. Finally, an extension of this methodology to a microcontroller core (including input/output activity modelling) is proposed. This approach leads to the proposal of a global methodology for predicting conducted emission levels in integrated circuits. The objectives of these research activities cover ICEM intellectual property (ICEM-IP) model development and supply.

6 vi Keywords Electromagnetic compatibility, ICEM, VHDL-AMS, modeling, simulation, memories.

7 vii Remerciements Je remercie tout d abord ma directrice de thèse, Mme Anne-Marie Trullemans-Anckaert, pour la confiance qu elle m a accordée malgré le contexte de travail très particulier ; l éloignement géographique n a pas toujours facilité les choses... Je souhaite ensuite remercier Mohamed Ramdani, mon collègue de travail, et Jean-Luc Levant, d ATMEL Nantes, pour les points de vue fructueux que nous avons pu échanger et la collaboration que nous avons pu mettre en place sur le projet DIVA et dans ce domaine somme toute assez récent de la CEM des circuits intégrés. Que l ESEO toute entière soit également remerciée, en particulier ses directeurs successifs, MM. Victor Hamon et Jacky Charruault, pour m avoir permis de préparer (et avoir financé) cette thèse en parallèle avec mes charges d enseignant-chercheur. Il ne faudrait pas oublier : les équipes du laboratoire d applications et de design d ATMEL Nantes, en particulier Eric Tinlot, Edouard Gautier et Abdel Bendraoui, pour avoir partagé avec moi les "secrets intimes" de leurs produits, sans lesquels je n aurais jamais pu "boucler la boucle" l équipe DIVA : Claude Huet, Etienne Sicard, Amaury Soubeyran et tous ceux que j ai bien involontairement oubliés... Et bien évidemment, je ne voudrais pas conclure sans une pensée toute spéciale pour ma famille, en particulier mes parents et ma compagne Dominique qui m ont "supporté" (dans tous les sens du terme!) tout au long de cette thèse.

8 viii

9 Table des matières Introduction générale 1 I Etat de l art 5 1 Problématique de la CEM des circuits intégrés Généralités Emission conduite Intégrité de signal : les modèles IBIS et IMIC Un modèle adapté : ICEM Méthodes de mesure Quelques méthodes de réduction de l émission conduite Emission rayonnée Méthodes de mesure Résultats fondamentaux Susceptibilité conduite Caractérisation de la susceptibilité conduite Méthodes de mesure Quelques méthodes matérielles de protection Quelques méthodes logicielles de protection Modélisation : modèle ICIM Susceptibilité rayonnée Méthodes de mesure Quelques travaux réalisés Conclusion générale Introduction à la modélisation comportementale VHDL-AMS Principes généraux et intérêt de la modélisation comportementale Principe de la modélisation comportementale Langages de modélisation comportementale pour l analogique Principes de base du langage VHDL-AMS ix

10 x TABLE DES MATIÈRES Introduction Représentation des grandeurs analogiques Equations différentielles Attributs Alternatives Discontinuités Domaines de simulation Divers Méthodes et outils actuels pour la modélisation VHDL-AMS Outils de modélisation VHDL-AMS Méthodes de modélisation VHDL-AMS Un exemple d utilisation de VHDL-AMS Présentation Modélisation Résultats de simulation Conclusion Conclusion générale II Contribution originale 41 3 Modèle ICEM et modélisation comportementale Objectifs Présentation générale du modèle ICEM Constitution interne d un circuit intégré Modèle ICEM du circuit intégré Modèle ICEM du circuit intégré dans son environnement Utilisations possibles du modèle ICEM Circuit utilisé pour l étude Validation du modèle du réseau passif Introduction Dispositif de mesure Modèle ICEM avec environnement Méthode de mesure des éléments Résultats obtenus Niveaux de détermination de l activité en courant d un circuit intégré Introduction Niveaux d abstraction Problème des interconnexions Choix du niveau d abstraction et de l outil Méthodologie de simulation

11 TABLE DES MATIÈRES xi Présentation et objectifs Conditions de simulation Simulation simple du cœur Simulation des mémoires Simulation comportementale complète Validation du générateur équivalent Objectifs Raffinement du modèle Extraction du courant interne Courant externe Conclusion Modélisation simple ICEM du cœur Intérêt de VHDL-AMS pour la modélisation en courant Bibliothèque de base de modèles VHDL-AMS Modélisation simple du cœur de microcontrôleur Utilisation pour la prédiction en courant Au niveau circuit intégré Au niveau carte Conclusion générale Modélisation comportementale ICEM des mémoires SRAM Préambule et objectifs Comparaison des consommations Intérêt de la simulation des SRAM Approche utilisée Architecture interne des mémoires SRAM Etude théorique succincte de l activité en courant des différents blocs fonctionnels Décodeur d adresses Cellules mémoire et amplificateurs Validation par simulation électrique Principe Décodeurs d adresses Cellules mémoire et amplificateurs Conclusion Introduction succincte aux modèles VITAL Modèle VHDL-AMS de la SRAM Principe de codage Codage Résultats obtenus Conclusion générale

12 xii TABLE DES MATIÈRES 5 Approche de modélisation comportementale ICEM d un microcontrôleur complet Objectifs Méthodologie proposée pour le cœur Choix des activités en courant à modéliser Méthodologie de simulation proposée Les modèles d entrées/sorties en détail Introduction Le modèle IBIS Le modèle IMIC Expression des besoins Modèle ICEM générique proposé pour les entrées/sorties Extraction des paramètres ICEM des entrées/sorties Modélisation VHDL-AMS d une entrée/sortie Généralités Modélisation du driver Interpolation des caractéristiques du MOS Modélisation du buffer complet et du pad Résultats de simulation Simulation avec driver d horloge Méthode de simulation de l activité en courant du microcontrôleur complet Principes généraux Généralisation au cas des fortes dépendances d activité en courant par rapport au code Méthode générique de simulation de l activité en courant d un circuit intégré Description générale du flot Modélisation du floorplanning et de la distribution des alimentations Paramétrage des modèles Conclusion : vers un modèle ICEM-IP Conclusion générale 125 A Sources des modèles 129 A.1 Sources du chapitre A.1.1 Capacité paramétrable A.1.2 Comparateur RF A.1.3 Démodulateur A.2 Sources du chapitre A.2.1 Composants passifs du modèle ICEM A.2.2 Générateur de courant impulsionnel périodique A.2.3 Générateur de courant PWL périodique

13 TABLE DES MATIÈRES xiii A.2.4 Générateur de courant de cœur, version conductance variable A.2.5 Générateur de courant de cœur, version équation courant/tension. 138 A.2.6 Banc de test pour le modèle ICEM du cœur A.3 Sources du chapitre A.3.1 Fichier "top" pour le test du décodeur X A.3.2 Banc de test pour les décodeurs Y et Z A.3.3 Modèle d activité en courant pour la SRAM A.3.4 Banc de test pour le modèle ICEM de la SRAM A.4 Sources du chapitre A.4.1 Modèle comportemental du driver A.4.2 Fonction d interpolation 2D pour les MOS du driver A.4.3 Modèle du buffer A.4.4 Fonction d interpolation 1D pour les diodes A.4.5 Banc de test de l entrée/sortie du port A.4.6 Banc de test pour le driver d horloge Bibliographie 169 Publications en rapport avec la thèse 177

14 xiv TABLE DES MATIÈRES

15 Table des figures 1.1 Modèles IBIS des ports d entrée (gauche) et de sortie (droite) Modèle IMIC Méthodes de mesure selon IEC : 1 Ω (gauche) et 150 Ω (droite) Cellule TEM pour la CEM des composants Principe du clamp de puissance Diagramme en Y de Gajski et Kuhn Détermination des paramètres d un modèle VHDL-AMS (d après [70]) Synoptique global du système de transmission transcutanée Schéma bloc du système de transmission transcutanée Données Manchester encodées Capacité paramétrable Résultats de simulation du système de transmission transcutanée Modèle ICEM du circuit intégré Modèle ICEM asymétrique simplifié Modèle ICEM pour les circuits intégrés complexes Test de la carte Viper Modèle ICEM complet avec environnement Impédances de la carte de test (d après ATMEL) en fonction de la fréquence Impédance totale (module, en Ω) en fonction de la fréquence, vue de l alimentation avec circuit alimenté (d après ATMEL) : modèle (trait plein) et mesures (pointillés) Modèle ICEM déterminé expérimentalement Principe de simulation simple du cœur Méthode d extraction du courant des mémoires Simulation avec modèles VHDL-AMS des mémoires Simulation avec modèles VHDL-AMS uniquement Modèle du générateur avec résistance variable xv

16 xvi TABLE DES FIGURES 3.14 Simulation du courant interne Courant externe du cœur en mode RESET Générateur impulsionnel périodique Générateur PWL périodique Simulations AC petits signaux Modèle ICEM déterminé expérimentalement (rappel) Courants interne et externe du modèle comportemental Simulation initiale d un accès à une cellule mémoire Synoptique d une mémoire SRAM (d après [2]) Schéma d une cellule de mémoire SRAM Découpage de l adresse selon les décodeurs Principe du décodeur Z Principe du décodeur X (d après [104]) Méthode d extraction du courant des mémoires (rappel) Simulation préliminaire de la SRAM en écriture Simulation des décodeurs Y et Z Simulation de la commutation lecture/écriture Simulation des sous-décodeurs X Simulation du bit de poids fort (A10) du décodeur X Simulation des cellules mémoire et amplificateurs Simulation de la netlist SPICE (haut) et du modèle VHDL-AMS (bas) pour un accès Quelques autres résultats de simulation du modèle VHDL-AMS Courant interne (haut) et courant externe (bas) pour une activité de la SRAM Mesures pour différents modes de fonctionnement Principe de la simulation globale CEM d un circuit intégré Modèles IBIS : entrée (gauche) et sortie (droite) Modèle IMIC Approche ICEM de la modélisation des E/S : entrée (gauche) et sortie (droite) Architecture interne d une broche du port 0 du VIPER Caractéristiques Id(Vd) des transistors P keep et N keep montés en diodes Caractéristique Id(Vd) du transistor N prot monté en diode Caractéristiques Id(Vd,Vg) des transistors de sortie Accès d un buffer d E/S Paramètres d interpolation de la caractéristique du MOS

17 TABLE DES FIGURES xvii 5.12 Simulations VHDL-AMS du port en sortie pour Tr = 1 ns (4 :1) et 3 ns (4 :2) : courant externe dans l alimentation, courant dans le PMOS, courant dans le NMOS (de haut en bas) Simulation VHDL-AMS du port en entrée Simulation VHDL-AMS du cœur avec le driver d horloge et une E/S Simulation en courant du microcontrôleur complet Proposition de modèle ICEM-IP

18 xviii TABLE DES FIGURES

19 Liste des tableaux 1 Evolution des technologies (d après ITRS SIA) Niveaux d abstraction en numérique (d après [37]) Niveaux d abstraction en analogique (d après [52]) Tableau résumé des niveaux d abstraction xix

20 xx LISTE DES TABLEAUX

21 Introduction générale Contexte de l étude Depuis de nombreuses années, les problèmes de compatibilité électromagnétique (CEM) sont au cœur des préoccupations des industriels. Eviter que le fonctionnement d un système électronique perturbe celui d un autre (émission) ou qu il soit lui-même perturbé par un tiers (susceptibilité) devient une exigence vitale à l heure où la multiplication des appareils électroniques, que ce soit au niveau du grand public ou dans l industrie, se conjugue à une augmentation rapide des fréquences de fonctionnement allant de pair avec la réduction des tensions d alimentation. Le tableau 1 montre les évolutions prévues des technologies et leurs conséquences. Jusque récemment, ces problèmes étaient envisagés de manière globale au niveau du circuit imprimé, voire même du système. Si cette démarche était suffisante il y a dix ans, désormais c est au niveau du circuit intégré lui-même que doivent se porter les recherches en CEM : 1. l augmentation du nombre de commutations simultanées (liée à celle du nombre de transistors) va produire de plus en plus d appels de courant dans les alimentations du circuit intégré 2. la réduction de la géométrie des transistors ainsi que l amélioration des interconnexions vont diminuer les temps de montée des signaux internes et donc ceux des pics de courant, entraînant une extension du spectre d émission (que ce soit conduite ou rayonnée) vers les très hautes fréquences (plusieurs dizaines de GHz) 3. la diminution de la tension d alimentation va réduire d autant les marges de bruit, augmentant par là-même la susceptibilité des circuits Même s il n existe pas de normes CEM concernant uniquement les niveaux d émission et de susceptibilité des circuits intégrés, il est alors évident que la minimisation de leur émission parasite va assurer une meilleure conformité des équipements les utilisant, et qu une susceptibilité plus faible va permettre de fiabiliser ces derniers. Ces préoccupations vont donc concerner à la fois le concepteur de circuits intégrés et le concepteur de cartes : pour le premier, parvenir dès le prototype initial à des circuits moins polluants et moins sensibles, ce qui sera (est?) un argument commercial de poids, nécessite de prendre en compte les exigences CEM lors de la phase de conception, ce qui est une 1

22 2 Chapitre 0 : Introduction générale Année Technologie (µm) Alimentation (V) Surface (mm 2 ) 14x14 18x18 20x20 22x22 25x25 30x30 Niveaux d interconnexion Fréquence (MHz) Nb. de pads Interconnexions Al Tu+Al Tu+Al Cu Cu Cu Résistance de 1 mm d interconnexion (Ω) Diaphonie max. pour 15 % 25 % 40 % 35 % 45 % 65 % 1 mm d interconnexion Modèle de linéaire linéaire 3D 3D 3D RLC 3D RLC couplage C RC RC RLC Maxwell Maxwell Emission conduite (µv) Fréquence d étude : 120 MHz 300 MHz 1 GHz 3 GHz 10 GHz 30 GHz du DC à Susceptibilité conduite (ma) Modèle E/S N/A IBIS v1 IBIS v2 IBIS v3 IBIS-ML IBIS-ML Modèle cœur N/A N/A IMIC ICEM v1 ICEM v2 ICEM v3 Modèle MOS Level 3 BSIM BSIM2 BSIM3 BSIM4 BSIM4 MM9 MM9 Tab. 1 Evolution des technologies (d après ITRS SIA) démarche nouvelle pour le second, le circuit intégré lui-même devient partie intégrante des éléments sur lesquels il sera susceptible d intervenir pour assurer la conformité de son système, soit par choix de composants ayant de meilleures performances CEM, soit par traitement spécifique de leurs perturbations au niveau du circuit imprimé Le concepteur de circuits intégrés devra donc être capable de prédire les performances CEM de son circuit, et de fournir à l équipementier des modèles permettant à ce dernier d extrapoler celles du système complet, et ce sans divulguer son savoir-faire. De plus, la rapidité de simulation de ces modèles est un critère déterminant de leur popularisation. Pour cette raison, les modèles comportementaux, permettant de décrire un circuit ou un système à plus haut niveau, peuvent répondre à ces critères, d autant plus que la précision attendue dans le domaine de la CEM est de l ordre de 20 %. Ces modèles peuvent être codés dans de nombreux langages : VHDL-AMS, Verilog-A et -AMS, mais aussi C, C++, Matlab... Cependant, il semble judicieux de mettre l accent sur un langage permettant

23 3 l interfaçage simple avec des modèles comportementaux numériques déjà écrits, dans une optique de co-simulation, et qui soit de plus totalement normalisé ; nous verrons que VHDL-AMS est le langage le plus adapté, grâce à sa compatibilité ascendante avec VHDL. Il sera donc le langage utilisé tout au long de cette étude. Objectifs et originalité de la démarche L objectif de ces travaux se focalise sur l émission conduite des circuits intégrés. Actuellement, cette dernière ne peut être déterminée qu à partir de mesures effectuées sur un circuit intégré existant, c est-à-dire après fonderie. D éventuelles améliorations aux performances de ce dernier ne peuvent donc être apportées que par des modifications internes nécessitant un nouveau prototypage, ce qui est extrêmement coûteux. L originalité de notre démarche réside dans la possibilité offerte au concepteur de circuits intégrés de prédire les performances en émission conduite dès la phase de simulation et de placement-routage, et d étudier l influence d un certain nombre de paramètres de conception (floorplanning du circuit, temps de montée des signaux internes, charges capacitives...) sur celles-ci. Cette démarche sera fondée sur la définition et l utilisation de modèles comportementaux VHDL-AMS pour les activités internes en courant ; un modèle global de l activité du circuit pourra ensuite en être déduit et mis à la disposition des concepteurs de cartes afin d effectuer des simulations globales CEM au niveau circuit imprimé, en conditions réelles de fonctionnement. Dans cette thèse, nous allons par conséquent chercher à mettre au point une démarche d établissement de modèles comportementaux d activité, très rapides à simuler tout en conservant une bonne précision. Structure Dans un premier temps, nous présenterons les principales avancées en termes de CEM des circuits intégrés (qui est un domaine très récent) ainsi que de modélisation comportementale VHDL-AMS. Quelques éléments de langage seront fournis à des fins de clarification de ce qui suit. Dans le troisième chapitre, nous allons partir du modèle ICEM (Integrated Circuit Electromagnetic Model) mis au point par l UTE (Union Technique de l Electricité, branche française de l International Electrotechnical Commission). Nous allons effectuer la validation complète de ce modèle sur un exemple industriel (un microcontrôleur 8 bits) grâce aux travaux menés en collaboration avec ATMEL Nantes. Ceci permettra de définir un modèle haut niveau très simplifié, en VHDL-AMS, du courant dynamique consommé sur les alimentations dans le mode de fonctionnement le plus simple du circuit. Il est ensuite intéressant de montrer comment l activité du circuit peut influencer ce cou-

24 4 Chapitre 0 : Introduction générale rant, dans le cas de certains blocs fonctionnels dont l architecture est relativement bien connue. C est pourquoi le quatrième chapitre est consacré à la définition d un modèle de courant dynamique dans le cas des mémoires embarquées. Après une étude théorique de l architecture des mémoires RAM statiques (SRAMs) ainsi que de l activité en courant des différents blocs fonctionnels les composant, une méthode de modélisation VHDL-AMS de leur activité en courant est proposée, compatible avec le standard VITAL (VHDL Initiative Towards ASIC Libraries) pour les modèles comportementaux numériques de mémoires, présenté par ailleurs. Le cinquième chapitre est consacré au cœur et aux entrées/sorties du microcontrôleur (CPU). De la même manière, un modèle basé sur les activités sera proposé, cependant limité aux activités générant le maximum de perturbations sur les alimentations, c est-à-dire les opérations d entrée/sortie. Pour ce faire, nous développerons un modèle VHDL-AMS des entrées/sorties du microcontrôleur. Une ouverture vers la proposition de modèles ICEM de propriété intellectuelle (ICEM-IP) et d une méthodologie générique de conception orientée CEM d un circuit intégré conclut cette étude et montrera tous les avantages de cette démarche.

25 Première partie Etat de l art 5

26

27 Chapitre 1 Problématique de la CEM des circuits intégrés 1.1 Généralités Le domaine de la CEM, qu elle concerne les circuits intégrés ou non, se répartit en quatre sous-domaines d activité, de recherche et de normalisation : 1. l émission conduite : on considère les niveaux des perturbations générées par le système à étudier (quel que soit son niveau de description) sur ses connexions physiques vers l extérieur prises dans leur généralité ; il peut s agir des entrées/sorties comme des réseaux d alimentation, et en particulier, dans le cas des circuits intégrés, les broches (ou pads si le composant n a pas de boîtier) 2. l émission rayonnée : on s intéresse de manière analogue au champ électromagnétique proche rayonné par le système 3. la susceptibilité conduite : on injecte sur les accès du système ("agression") des perturbations parfaitement déterminées (niveaux, temps de montée et de descente...), et l on vérifie son comportement vis-à-vis de celles-ci (par exemple arrêt de fonctionnement nécessitant une réinitialisation) 4. la susceptibilité rayonnée : l impact de champs électromagnétiques connus sur le fonctionnement du système est étudié comme pour la susceptibilité conduite Chacun de ces sous-domaines possède son pendant dans la CEM des circuits intégrés (CI). Par la suite, afin d insister sur l essentiel, seuls les travaux menés sur les CI seront directement évoqués. Nous ferons le point à la fois sur les les méthodes de mesure, celles de modélisation et les normalisations mises en place (ou en cours). Cet état de l art ne se veut pas exhaustif, étant donné que le domaine de la CEM des CI est relativement nouveau (recherches essentiellement menées depuis 1996) et encore peu développé. Les références citées ci-après permettent donc d en avoir une vue globale satisfaisante du point de vue de ce travail. 7

28 8 Chapitre 1 : Problématique de la CEM des circuits intégrés 1.2 Emission conduite Historiquement, les premiers travaux sur la CEM des circuits intégrés ont été réalisés sur l émission conduite ; c est donc dans ce domaine que les mesures et les modèles sont les plus avancés, mais beaucoup de modèles restent encore à affiner. Il est important de noter par contre qu il n existe actuellement aucune norme pour les niveaux d émission des circuits intégrés : les performances de ces derniers doivent simplement permettre d assurer la conformité de l ensemble du système qui les inclut, et plus encore, la non-perturbation du circuit lui-même (autoperturbation) Intégrité de signal : les modèles IBIS et IMIC Les premières recherches en CEM des circuits intégrés sont reliées à l intégrité de signal. Cette dernière, étudiée depuis plus d une dizaine d années, englobe l ensemble des techniques visant à vérifier, si possible par simulation, la propagation des signaux le long des media les véhiculant à l intérieur d un système (pistes de circuit imprimé, câbles). Elle ne concerne pas par contre la vérification de la conformité des niveaux d émission eux-mêmes. A cet effet, deux principaux modèles ont été mis au point : IBIS (Input/output Buffer Information Specification) et IMIC (Input/output interface Model for Integrated Circuits). IBIS Le modèle IBIS, dont la dernière version (4.0) est présentée dans [87], a été créé par Intel et rendu public en juin Son objectif est de fournir les caractéristiques des entrées/sorties d un circuit intégré tout en préservant la confidentialité technologique. Il s agit d un modèle comportemental, dont le schéma équivalent est présenté figure 1.1 (gauche : entrée, droite : sortie). Il comprend : les éléments passifs R pkg, L pkg, C pkg de l ensemble bonding+boîtier la capacité d entrée/sortie du composant C comp les diodes de clamping V CC clamp et GND clamp pour les sorties, les transistors de sortie pullup et pulldown Ce modèle est insuffisant en CEM des circuits intégrés car il n inclut : ni les perturbations induites sur les entrées/sorties par l activité interne du composant, dont la fréquence peut être beaucoup plus grande que celle des E/S elles-mêmes ni les perturbations sur les rails d alimentation (qui ont beaucoup d importance, en particulier en rayonné) ni le champ électromagnétique rayonné Actuellement, une très importante amélioration est en cours de définition. Il s agit d IBIS- ML (Macro Language) [88], qui permet de créer une description comportementale explicite du buffer d entrée/sortie, laquelle peut être écrite par exemple en langage VHDL-AMS.

29 1.2 Emission conduite 9 V CC Clamp V CC Clamp IN R pkg L pkg R pkg L pkg OUT C pkg GND Clamp C comp C comp GND Clamp C pkg Fig. 1.1 Modèles IBIS des ports d entrée (gauche) et de sortie (droite) Ceci peut donc apporter une réponse partielle au premier point, car l activité interne peut être à terme incluse dans le modèle. Nous en reparlerons au dernier chapitre. IMIC Le modèle IMIC [45], défini par la JEITA (Japan Electronics and Information Technology Industries Association) et publié en mars 2001, est censé remédier à certains inconvénients du modèle IBIS. Les deux principaux compléments à ce dernier sont : la présence de réseaux RL dans les rails d alimentation en série avec les transistors de sortie la possibilité de définir des modèles de formes d onde pour les commandes des transistors de sortie (possibilité ajoutée dans IBIS-ML comme vu précédemment) ; cependant, ces modèles sont uniquement exprimés sous forme PWL (Piece-Wise Linear) indépendants de l activité Ce modèle est représenté figure 1.2. Contrairement à IBIS, les modèles IMIC sont codés purement en syntaxe SPICE, avec des modèles de transistors tabulés afin de masquer la technologie employée. IMIC permet de définir trois niveaux de simulation : 1. le niveau 1 considère les rails d alimentation parfaits, ce qui revient en fait au modèle IBIS pour l intégrité de signal 2. dans le niveau 2, les rails d alimentation ne sont plus idéaux et la description du boîtier est plus précise, ce qui permet d examiner "l intégrité d alimentation" 3. le niveau 3 met en jeu des structures tridimensionnelles pour le boîtier ainsi que l ensemble des courants à l intérieur du composant Le principal avantage du modèle IMIC est la prise en compte de l influence mutuelle des entrées/sorties et des rails d alimentation. Cependant, l influence directe de l activité

30 10 Chapitre 1 : Problématique de la CEM des circuits intégrés Buffer R L Signal R R R R L Masses R L R R Buffer R L Signal Modèle d un buffer Circuit intégré Boîtier Fig. 1.2 Modèle IMIC interne du circuit intégré sur les rails d alimentation n est toujours pas prise en compte (il n offre aucun moyen de spécifier les générateurs de courant internes), alors que bien souvent c est elle qui se trouve être la principale source de perturbations conduites sur une carte, en particulier au niveau étendue spectrale Un modèle adapté : ICEM Le modèle ICEM (Integrated Circuit Electromagnetic Model) est un modèle simplifié de représentation d un circuit intégré et de son environnement d un point de vue CEM. Son objectif est la prédiction des perturbations conduites et rayonnées générées sur les rails d alimentation du circuit. Il complète donc utilement le modèle IBIS. ICEM fait l objet d une proposition (IEC et IEC ) déposée auprès de l Union Technique de l Electricité et de la Communication (UTE) par le groupe de travail de cette dernière. Ce modèle ne sera pas détaillé ici ; en effet, constituant le support sur lequel est basée cette thèse, il sera présenté de manière approfondie au paragraphe 3.2.

31 1.2 Emission conduite Méthodes de mesure Normalisation Deux méthodes de mesure sont en cours de normalisation pour l émission conduite : la "1 Ω Ω" et la WBFC (WorkBench Faraday Cage). Méthode 1 Ω Ω Cette méthode est définie par l IEC sous la référence IEC [90] et s inspire du standard VDE AK Elle consiste, comme l indique la figure 1.3, à mesurer à la fois le courant dans le fil de masse (par mesure de tension aux bornes d une résistance de 1 Ω) et la tension sur les entrées/sorties, et ce entre 150 khz et 1 GHz. masse CI 49 Ω 10 nf 50 Ω E/S 120 Ω 6.8 nf 50 Ω 1 Ω 51 Ω masse mesure masse mesure Fig. 1.3 Méthodes de mesure selon IEC : 1 Ω (gauche) et 150 Ω (droite) Le choix de 1 Ω pour la mesure en courant est justifié par la nécessité de perturber au minimum l impédance de l alimentation, celui de 150 Ω pour la mesure en tension par la norme IEC qui indique que dans la majorité des cas, il s agit de l impédance des antennes équivalentes du réseau de câblage (pistes) dans cette bande de fréquences. Comme l impédance des appareils de mesure est généralement égale à 50 Ω, il est nécessaire de mettre en place les adaptateurs illustrés dans la norme. Cette méthode possède plusieurs avantages : évaluation individuelle de la contribution de chaque broche linéarité (mesure effectuée sur une résistance) validité en très basse fréquence reproductibilité Cependant le couplage capacitif limite la validité en très haute fréquence, et surtout la méthode nécessite beaucoup de composants passifs, augmentant notablement l encombrement de la carte de test.

32 12 Chapitre 1 : Problématique de la CEM des circuits intégrés Méthode WBFC La méthode WBFC [93] est issue du standard IEC et permet de mesurer l émission conduite en mode commun d un câble connecté sur un composant ; elle consiste à enfermer le circuit intégré à tester, placé sur un circuit imprimé de test adéquat, dans une cage de Faraday. Chaque signal (y compris les alimentations) est véhiculé depuis ou vers l extérieur par des filtres de paroi et des ferrites afin d assurer une impédance de mode commun d environ 150 Ω. De même que pour la méthode précédente, les mesures peuvent s effectuer entre 150 khz et 1 GHz. Bien que non officielles, ces méthodes sont utilisées quasiment pour toutes les investigations CEM. Autres méthodes Afin de pouvoir mesurer le courant consommé par un circuit intégré au plus près de la puce, des "oscilloscopes sur puce" (capteurs à échantillonnage) ont été développés par l équipe d E. Sicard à l INSA de Toulouse [24] ; ils sont directement implantés sur silicium au niveau de la piste à examiner, ce qui présente un grand intérêt pour l étude de la diaphonie, du courant consommé individuellement par chaque bloc fonctionnel d un circuit... Par contre, ils nécessitent de réaliser un design spécifique, ce qui n apporte pas de solution au problème de la prédiction du courant d un circuit destiné à la production de masse Quelques méthodes de réduction de l émission conduite La méthode la plus couramment employée pour réduire l émission conduite des circuits logiques synchrones consiste à désynchroniser les horloges : soit en les modulant afin d étaler le spectre [39] (résultats valables également pour l émission conduite) soit en étalant les fronts d horloge à l intérieur du circuit [6] Les circuits asynchrones peuvent également présenter un grand intérêt d un point de vue émission, bien évidemment grâce à l absence d harmoniques liées à une horloge. De plus, Panyasak [71] montre que certaines techniques de conception asynchrone permettent de réduire encore cette émission. Une autre voie possible, envisagée par Lochot et Calvet [57] et mesurée sur un circuit de test, est l inclusion de résistances supplémentaires dans les rails d alimentation. Nous verrons au chapitre 3 que ces résultats peuvent être prédits dès la simulation. Une méthode totalement différente consiste à inclure sur la puce même une capacité de découplage. En effet, la plupart des circuits sont "pad-limited", c est-à-dire que la surface totale de la puce est fixée par le périmètre intérieur des différents pads d entrée/sortie sur le pourtour. Plutôt que de passiver le silicium non utilisé pour le cœur du circuit, on

33 1.3 Emission rayonnée 13 peut donc l utiliser pour créer une capacité métal-métal qui aura un effet bénéfique sur l émission conduite. Nous le verrons au paragraphe Emission rayonnée Il existe actuellement peu de travaux publiés sur l émission rayonnée des circuits intégrés Méthodes de mesure Normalisation Deux méthodes de mesure sont en cours de normalisation : l une utilisant une cellule TEM (Transverse ElectroMagnetic) et l autre une sonde de mesure du champ électromagnétique proche ("surface scan"). Méthode TEM Sous la référence , l IEC propose [91] d utiliser "classiquement" une cellule TEM pour mesurer les émissions rayonnées jusqu à 1 GHz. Cette norme s inspire des premiers travaux menés en 1996 par Muccioli et al. [64]. Le composant à tester est fixé sur la première couche d un circuit imprimé 4 couches de 10x10 cm. Cette couche n est autre qu un plan de masse, les couches suivantes étant réservées respectivement à l alimentation, aux signaux et à un second plan de masse (plus éventuellement le reste des signaux). Ce circuit imprimé est alors fixé, composant à tester vers l intérieur, sur l un des côtés de la cellule, et assure ainsi la continuité électrique de cette dernière. Les perturbations rayonnées sont collectées par un septum, plaque de métal située au milieu de la cellule et électriquement isolée de cette dernière, et dirigées vers un analyseur de spectre. Une photographie de la cellule est proposée à la figure 1.4 ; on peut y remarquer sur la face supérieure l emplacement du circuit. Nous ne détaillerons pas plus cette méthode ici. Son principal inconvénient est son inaptitude à déterminer précisément l emplacement des principales sources d émission sur un circuit imprimé. Méthode "surface scan" Afin de remédier à cet inconvénient, la méthode IEC [92] propose de mesurer le champ magnétique proche en déplaçant une sonde de mesure (en fait deux sondes différentes, une pour le champ E, l autre pour le champ B ) sur toute la surface du circuit imprimé (en particulier sur les circuits intégrés), grâce à un positionneur XYZ (3 axes linéaires), réalisant ainsi une véritable cartographie du champ. Ceci permet, en calculant ensuite l énergie totale locale, de caractériser au mieux les emplacements des pics de rayonnement électromagnétique sur le circuit imprimé.

34 14 Chapitre 1 : Problématique de la CEM des circuits intégrés Fig. 1.4 Cellule TEM pour la CEM des composants Cette méthode a été améliorée par Kazama [47] par l utilisation d une seule sonde capable de mesurer simultanément les deux composantes du champ. Autres méthodes de mesure Au lieu d une cellule TEM "classique", Deniau [22] utilise une cellule "TEM 3D" à six septa, au milieu de laquelle est placé le circuit à tester, ce qui permet d obtenir directement l émission rayonnée dans toutes les directions au lieu de changer l orientation de ce dernier. Au-delà du gigahertz, il est possible d utiliser une cellule GTEM (Gigahertz TEM), qui se distingue de la TEM par sa forme pyramidale, ses dimensions plus importantes et surtout par la présence d absorbants réduisant les réflexions parasites à l intérieur de la cellule. Cette méthode est utilisée entre autres par Maurice [59] ; une équipe de l INSA de Toulouse approfondit actuellement le sujet Résultats fondamentaux Actuellement, les recherches sur l émission rayonnée en sont encore principalement au stade de mise au point des méthodes de mesure. Quelques travaux ont cependant commencé sur la caractérisation des circuits intégrés. En particulier, Ostermann et al. [67] ont étudié l influence du style d alimentation d un circuit intégré (masses analogiques et numériques séparées ou communes) sur l émission rayonnée. Des résultats préliminaires montrent que le problème est complexe : au-dessus de 100 MHz, l émission est plus faible en utilisant des masses séparées, alors que le contraire se vérifie en-dessous de 100 MHz.

35 1.4 Susceptibilité conduite Susceptibilité conduite Caractérisation de la susceptibilité conduite La gamme d effets produits par les perturbations conduites sur les circuits intégrés est très vaste et ses manifestations le sont tout autant. Si l on excepte la destruction du circuit, elles peuvent entraîner : 1. pour les circuits logiques, des commutations intempestives (aléas, glitches) entraînant un mauvais fonctionnement et, dans le cas de circuits complexes comme les microcontrôleurs, quelquefois l arrêt complet du circuit 2. pour les circuits analogiques, en général des tensions d offset Circuits logiques Dans une étude comparative très intéressante [60], Maurice montre que les perturbations peuvent être prises en compte par un circuit logique de deux façons différentes : si la fréquence de l agression est inférieure à la fréquence de travail du circuit, elle peut se propager dans les couches logiques du circuit et entraîner soit des changements d état asynchrones, soit l anticipation des changements d état synchrones ; si elle intervient sur l alimentation, elle peut réduire les marges de bruit de la logique si elle est supérieure, la non-linéarité des étages d entrée/sortie redresse le signal RF et le transpose dans la bande de travail du circuit (Forcier [36]) Il montre entre autres qu environ 1 mw injecté sur une entrée/sortie est suffisant en général pour perturber un circuit logique, et ce jusqu à 1.5 GHz, et que les étages de sortie sont plus susceptibles que les étages d entrée (sans doute à cause du redressement opéré par l étage push-pull de sortie). Mémoires Une étude théorique succincte [72] a été menée sur la susceptibilité des différentes technologies des mémoires pouvant être embarquées dans des microcontrôleurs. Il ressort de cette étude que : la susceptibilité des mémoires statiques (SRAMs) est surtout liée au décodeur d adresses ; en effet, le stockage de l information dans ces mémoires s effectue par l intermédiaire de bistables dont le changement d état parasite peut s effectuer par une impulsion parasite sur le signal de sélection, c est-à-dire une ligne de mot (wordline) reliée au décodeur les Flash EPROM sont a priori très sensibles d un point de vue CEM : en supplément du problème lié au décodeur d adresses, cité précédemment, le fait que chaque cellule ne soit constituée que d un seul transistor double grille dans la grille flottante duquel est stockée l information peut entraîner l effacement parasite d une rangée de cellules

36 16 Chapitre 1 : Problématique de la CEM des circuits intégrés par une impulsion de tension négative arrivant sur la grille flottante (communiquée par un champ proche par exemple) les EEPROM sont moins sensibles que les Flash EEPROM, en raison de la présence d un transistor de sélection dans chaque cellule les mémoires ferroélectriques (FRAMs) sont très sensibles à des variations de tension d alimentation pendant les phases d écriture, en raison de possibles commutations incomplètes liées au cycle d hystérésis des capacités ferroélectriques les mémoires ferromagnétiques (MRAMs) seraient a priori les plus fiables d un point de vue CEM, si l on excepte les risques de détérioration par une forte impulsion de tension sur l alimentation. Circuits analogiques Les effets des perturbations sur les circuits analogiques sont très différents, en particulier sur les amplificateurs opérationnels qui sont la base d un grand nombre de blocs fonctionnels analogiques. Richardson [77] a notamment montré qu elles entraînent un déplacement du point de fonctionnement DC des transistors, ce qui se répercute directement sur l offset de sortie des amplificateurs opérationnels [97]. La démonstration en a été faite récemment par Fiori [34]. Parallèlement, Druant [26] montre que les composantes situées en-dehors de la bande passante et présentes aux entrées sont susceptibles de fuir soit vers les alimentations, soit directement vers la sortie. Un résumé de différents résultats en susceptibilité est proposé par Pozzolo et al. [76] ; ceux-ci montrent en particulier la sensibilité particulière des transistors MOS aux perturbations sur le substrat, à partir d un modèle MOS spécifiquement orienté susceptibilité [35]. Dans ce même article est proposée une étude expérimentale sur les convertisseurs DC/DC intégrés Méthodes de mesure Actuellement, trois méthodes de mesure en susceptibilité conduite sont en cours de normalisation : la BCI (Bulk Current Injection), la DPI (Direct Power Injection) et la WBFC. Ces méthodes ont été évaluées par Fiori [32] dans le cas concret d un microcontrôleur. Cependant il est à noter qu elles ne définissent aucun critère standard de susceptibilité ; chaque utilisateur peut alors lui-même appliquer ses propres critères, d où une importance subjectivité... Méthode BCI La méthode BCI [95] consiste à injecter sur les entrées/sorties du circuit intégré une perturbation sinusoïdale dont la fréquence varie entre 10 khz et 400 MHz, modulée en amplitude à 1 khz ou non, par l intermédiaire d un couplage inductif (transformateur

Contribution à la conception par la simulation en électronique de puissance : application à l onduleur basse tension

Contribution à la conception par la simulation en électronique de puissance : application à l onduleur basse tension Contribution à la conception par la simulation en électronique de puissance : application à l onduleur basse tension Cyril BUTTAY CEGELY VALEO 30 novembre 2004 Cyril BUTTAY Contribution à la conception

Plus en détail

EMETTEUR ULB. Architectures & circuits. Ecole ULB GDRO ESISAR - Valence 23-27/10/2006. David MARCHALAND STMicroelectronics 26/10/2006

EMETTEUR ULB. Architectures & circuits. Ecole ULB GDRO ESISAR - Valence 23-27/10/2006. David MARCHALAND STMicroelectronics 26/10/2006 EMETTEUR ULB Architectures & circuits David MARCHALAND STMicroelectronics 26/10/2006 Ecole ULB GDRO ESISAR - Valence 23-27/10/2006 Introduction Emergence des applications de type LR-WPAN : Dispositif communicant

Plus en détail

Compatibilité Électromagnétique

Compatibilité Électromagnétique Compatibilité Électromagnétique notions générales et applications à l électronique de puissance Ir. Stéphane COETS 18 mai 2005 Journée d étude en Électronique de Puissance 1 Plan de l exposé La Compatibilité

Plus en détail

Transmission de données. A) Principaux éléments intervenant dans la transmission

Transmission de données. A) Principaux éléments intervenant dans la transmission Page 1 / 7 A) Principaux éléments intervenant dans la transmission A.1 Equipement voisins Ordinateur ou terminal Ordinateur ou terminal Canal de transmission ETTD ETTD ETTD : Equipement Terminal de Traitement

Plus en détail

TP Modulation Démodulation BPSK

TP Modulation Démodulation BPSK I- INTRODUCTION : TP Modulation Démodulation BPSK La modulation BPSK est une modulation de phase (Phase Shift Keying = saut discret de phase) par signal numérique binaire (Binary). La phase d une porteuse

Plus en détail

Equipement. électronique

Equipement. électronique MASTER ISIC Les générateurs de fonctions 1 1. Avant-propos C est avec l oscilloscope, le multimètre et l alimentation stabilisée, l appareil le plus répandu en laboratoire. BUT: Fournir des signau électriques

Plus en détail

Formation des enseignants. Le tensiomètre. Objet technique modélisable issu de l environnement des élèves

Formation des enseignants. Le tensiomètre. Objet technique modélisable issu de l environnement des élèves Le tensiomètre Objet technique modélisable issu de l environnement des élèves Un peu d'histoire C'est en 1628 que W. Harvey découvrit la circulation du sang. C'est pourtant seulement en 1730 que la pression

Plus en détail

Champ électromagnétique?

Champ électromagnétique? Qu est-ce qu un Champ électromagnétique? Alain Azoulay Consultant, www.radiocem.com 3 décembre 2013. 1 Définition trouvée à l article 2 de la Directive «champs électromagnétiques» : des champs électriques

Plus en détail

Eléments constitutifs et synthèse des convertisseurs statiques. Convertisseur statique CVS. K à séquences convenables. Source d'entrée S1

Eléments constitutifs et synthèse des convertisseurs statiques. Convertisseur statique CVS. K à séquences convenables. Source d'entrée S1 1 Introduction Un convertisseur statique est un montage utilisant des interrupteurs à semiconducteurs permettant par une commande convenable de ces derniers de régler un transfert d énergie entre une source

Plus en détail

1 Démarrer... 3 1.1 L écran Isis...3 1.2 La boite à outils...3 1.2.1 Mode principal... 4 1.2.2 Mode gadget...4 1.2.3 Mode graphique...

1 Démarrer... 3 1.1 L écran Isis...3 1.2 La boite à outils...3 1.2.1 Mode principal... 4 1.2.2 Mode gadget...4 1.2.3 Mode graphique... 1 Démarrer... 3 1.1 L écran Isis...3 1.2 La boite à outils...3 1.2.1 Mode principal... 4 1.2.2 Mode gadget...4 1.2.3 Mode graphique... 4 2 Quelques actions... 5 2.1 Ouvrir un document existant...5 2.2

Plus en détail

Les transistors à effet de champ.

Les transistors à effet de champ. Chapitre 2 Les transistors à effet de champ. 2.1 Les différentes structures Il existe de nombreux types de transistors utilisant un effet de champ (FET : Field Effect Transistor). Ces composants sont caractérisés

Plus en détail

T500 DUAlTACH. JAQUET T500 DualTach Instrument de mesure et de surveillance équipé de 2 entrées fréquence TACHYMETRE 2 CANAUX

T500 DUAlTACH. JAQUET T500 DualTach Instrument de mesure et de surveillance équipé de 2 entrées fréquence TACHYMETRE 2 CANAUX 02-09 T500 DUAlTACH JAQUET T500 DualTach Instrument de mesure et de surveillance équipé de 2 entrées fréquence JAQUET T500 DualTach Instrument multi canal de mesure et de surveillance pour applications

Plus en détail

Mini_guide_Isis.pdf le 23/09/2001 Page 1/14

Mini_guide_Isis.pdf le 23/09/2001 Page 1/14 1 Démarrer...2 1.1 L écran Isis...2 1.2 La boite à outils...2 1.2.1 Mode principal...3 1.2.2 Mode gadgets...3 1.2.3 Mode graphique...3 2 Quelques actions...4 2.1 Ouvrir un document existant...4 2.2 Sélectionner

Plus en détail

Extrait des Exploitations Pédagogiques

Extrait des Exploitations Pédagogiques Pédagogiques Module : Compétitivité et créativité CI Première : Compétitivité et créativité CI institutionnel : Développement durable et compétitivité des produits Support : Robot - O : Caractériser les

Plus en détail

Manipulation N 6 : La Transposition de fréquence : Mélangeur micro-ondes

Manipulation N 6 : La Transposition de fréquence : Mélangeur micro-ondes Manipulation N 6 : La Transposition de fréquence : Mélangeur micro-ondes Avant Propos : Le sujet comporte deux parties : une partie théorique, jalonnée de questions (dans les cadres), qui doit être préparée

Plus en détail

Le multiplexage. Sommaire

Le multiplexage. Sommaire Sommaire Table des matières 1- GENERALITES... 2 1-1 Introduction... 2 1-2 Multiplexage... 4 1-3 Transmission numérique... 5 2- LA NUMERATION HEXADECIMALE Base 16... 8 3- ARCHITECTURE ET PROTOCOLE DES RESEAUX...

Plus en détail

Sur un ordinateur portable ou un All-in-One tactile, la plupart des éléments mentionnés précédemment sont regroupés. 10) 11)

Sur un ordinateur portable ou un All-in-One tactile, la plupart des éléments mentionnés précédemment sont regroupés. 10) 11) 1/ Généralités : Un ordinateur est un ensemble non exhaustif d éléments qui sert à traiter des informations (documents de bureautique, méls, sons, vidéos, programmes ) sous forme numérique. Il est en général

Plus en détail

Circuits intégrés micro-ondes

Circuits intégrés micro-ondes Chapitre 7 Circuits intégrés micro-ondes Ce chapitre sert d introduction aux circuits intégrés micro-ondes. On y présentera les éléments de base (résistance, capacitance, inductance), ainsi que les transistors

Plus en détail

Étude des Corrélations entre Paramètres Statiques et Dynamiques des Convertisseurs Analogique-Numérique en vue d optimiser leur Flot de Test

Étude des Corrélations entre Paramètres Statiques et Dynamiques des Convertisseurs Analogique-Numérique en vue d optimiser leur Flot de Test 11 juillet 2003 Étude des Corrélations entre Paramètres Statiques et Dynamiques des Convertisseurs Analogique-Numérique en vue d optimiser leur Flot de Test Mariane Comte Plan 2 Introduction et objectif

Plus en détail

WWW.ELCON.SE Multichronomètre SA10 Présentation générale

WWW.ELCON.SE Multichronomètre SA10 Présentation générale WWW.ELCON.SE Multichronomètre SA10 Présentation générale Le SA10 est un appareil portable destiné au test des disjoncteurs moyenne tension et haute tension. Quoiqu il soit conçu pour fonctionner couplé

Plus en détail

1. PRESENTATION DU PROJET

1. PRESENTATION DU PROJET Bac STI2D Formation des enseignants Jean-François LIEBAUT Denis PENARD SIN 63 : Prototypage d un traitement de l information analogique et numérique (PSoC) 1. PRESENTATION DU PROJET Les systèmes d éclairage

Plus en détail

Etude des convertisseurs statiques continu-continu à résonance, modélisation dynamique

Etude des convertisseurs statiques continu-continu à résonance, modélisation dynamique Etude des convertisseurs statiques continucontinu à résonance, modélisation dynamique J.P. Ferrieux, J. Perard, E. Olivier To cite this version: J.P. Ferrieux, J. Perard, E. Olivier. Etude des convertisseurs

Plus en détail

Approche expérimentale du rayonnement électromagnétique émis par un téléphone portable

Approche expérimentale du rayonnement électromagnétique émis par un téléphone portable Approche expérimentale du rayonnement électromagnétique émis par un téléphone portable RÉSUMÉ U N I O N D E S P R O F E S S E U R S D E P H Y S I Q U E E T D E C H I M I E par Lycée Victor Hugo - 25000

Plus en détail

UE 503 L3 MIAGE. Initiation Réseau et Programmation Web La couche physique. A. Belaïd

UE 503 L3 MIAGE. Initiation Réseau et Programmation Web La couche physique. A. Belaïd UE 503 L3 MIAGE Initiation Réseau et Programmation Web La couche physique A. Belaïd abelaid@loria.fr http://www.loria.fr/~abelaid/ Année Universitaire 2011/2012 2 Le Modèle OSI La couche physique ou le

Plus en détail

Electron S.R.L. SERIE B46 - SYSTEMES DIDACTIQUES DE TELEPHONIE

Electron S.R.L. SERIE B46 - SYSTEMES DIDACTIQUES DE TELEPHONIE Electron S.R.L. Design Production & Trading of Educational Equipment SERIE B46 - SYSTEMES DIDACTIQUES DE TELEPHONIE Specifications may change without notic Page 1 of 9 File BROCHURE B46xx B4610 UNITE DIDACTIQUE

Plus en détail

Comment aborder en pédagogie l aspect «système» d une chaîne télécom?

Comment aborder en pédagogie l aspect «système» d une chaîne télécom? Comment aborder en pédagogie l aspect «système» d une chaîne télécom? Introduction : Guillaume Ducournau, Christophe Gaquière, Thierry Flamen guillaume.ducournau@polytech-lille.fr Plate-forme PolyCOM,

Plus en détail

Mini_guide_Isis_v6.doc le 10/02/2005 Page 1/15

Mini_guide_Isis_v6.doc le 10/02/2005 Page 1/15 1 Démarrer... 2 1.1 L écran Isis... 2 1.2 Les barres d outils... 3 1.2.1 Les outils d édition... 3 1.2.2 Les outils de sélection de mode... 4 1.2.3 Les outils d orientation... 4 2 Quelques actions... 5

Plus en détail

EP 2 339 758 A1 (19) (11) EP 2 339 758 A1 (12) DEMANDE DE BREVET EUROPEEN. (43) Date de publication: 29.06.2011 Bulletin 2011/26

EP 2 339 758 A1 (19) (11) EP 2 339 758 A1 (12) DEMANDE DE BREVET EUROPEEN. (43) Date de publication: 29.06.2011 Bulletin 2011/26 (19) (12) DEMANDE DE BREVET EUROPEEN (11) EP 2 339 758 A1 (43) Date de publication: 29.06.2011 Bulletin 2011/26 (21) Numéro de dépôt: 09179459.4 (51) Int Cl.: H04B 1/69 (2011.01) H03K 5/08 (2006.01) H03K

Plus en détail

Aiguilleurs de courant intégrés monolithiquement sur silicium et leurs associations pour des applications de conversion d'énergie

Aiguilleurs de courant intégrés monolithiquement sur silicium et leurs associations pour des applications de conversion d'énergie Aiguilleurs de courant intégrés monolithiquement sur silicium et leurs associations pour des applications de conversion d'énergie ABDELILAH EL KHADIRY ABDELHAKIM BOURENNANE MARIE BREIL DUPUY FRÉDÉRIC RICHARDEAU

Plus en détail

Chapitre 7. Circuits Magnétiques et Inductance. 7.1 Introduction. 7.1.1 Production d un champ magnétique

Chapitre 7. Circuits Magnétiques et Inductance. 7.1 Introduction. 7.1.1 Production d un champ magnétique Chapitre 7 Circuits Magnétiques et Inductance 7.1 Introduction 7.1.1 Production d un champ magnétique Si on considère un conducteur cylindrique droit dans lequel circule un courant I (figure 7.1). Ce courant

Plus en détail

Moteur DC: Comment faire varier sa vitesse?

Moteur DC: Comment faire varier sa vitesse? Moteur DC: Comment faire varier sa vitesse? Zone d'utilisation Moteur à excitation shunt Influence I e Petite perturbation : e.g. augmentation vitesse À partir de P : couple moteur P'' < couple résistant

Plus en détail

THESE DOCTEUR. Génie Electrique. Maxime MOREAU

THESE DOCTEUR. Génie Electrique. Maxime MOREAU N d ordre : 117 ECOLE CENTRALE DE LILLE THESE présentée en vue d obtenir le grade de DOCTEUR en Génie Electrique par Maxime MOREAU DOCTORAT DELIVRE PAR L ECOLE CENTRALE DE LILLE Modélisation haute fréquence

Plus en détail

Notice Technique / Technical Manual

Notice Technique / Technical Manual Contrôle d accès Access control Encodeur USB Mifare ENCOD-USB-AI Notice Technique / Technical Manual SOMMAIRE p.2/10 Sommaire Remerciements... 3 Informations et recommandations... 4 Caractéristiques techniques...

Plus en détail

LES CARACTERISTIQUES DES SUPPORTS DE TRANSMISSION

LES CARACTERISTIQUES DES SUPPORTS DE TRANSMISSION LES CARACTERISTIQUES DES SUPPORTS DE TRANSMISSION LES CARACTERISTIQUES DES SUPPORTS DE TRANSMISSION ) Caractéristiques techniques des supports. L infrastructure d un réseau, la qualité de service offerte,

Plus en détail

Catalogue Produits. Version 1

Catalogue Produits. Version 1 Catalogue Produits Version 1 A propos d Actisense INTRODUCTION Interfaces et Sondes intelligentes Actisense. Des solutions plus intelligentes qui ont un sens. Actisense est synonyme d excellence dans le

Plus en détail

Références pour la commande

Références pour la commande avec fonction de détection de défaillance G3PC Détecte les dysfonctionnements des relais statiques utilisés pour la régulation de température des éléments chauffants et émet simultanément des signaux d'alarme.

Plus en détail

PRODUIRE DES SIGNAUX 1 : LES ONDES ELECTROMAGNETIQUES, SUPPORT DE CHOIX POUR TRANSMETTRE DES INFORMATIONS

PRODUIRE DES SIGNAUX 1 : LES ONDES ELECTROMAGNETIQUES, SUPPORT DE CHOIX POUR TRANSMETTRE DES INFORMATIONS PRODUIRE DES SIGNAUX 1 : LES ONDES ELECTROMAGNETIQUES, SUPPORT DE CHOIX POUR TRANSMETTRE DES INFORMATIONS Matériel : Un GBF Un haut-parleur Un microphone avec adaptateur fiche banane Une DEL Une résistance

Plus en détail

VIII- Circuits séquentiels. Mémoires

VIII- Circuits séquentiels. Mémoires 1 VIII- Circuits séquentiels. Mémoires Maintenant le temps va intervenir. Nous avions déjà indiqué que la traversée d une porte ne se faisait pas instantanément et qu il fallait en tenir compte, notamment

Plus en détail

La Recherche du Point Optimum de Fonctionnement d un Générateur Photovoltaïque en Utilisant les Réseaux NEURO-FLOUS

La Recherche du Point Optimum de Fonctionnement d un Générateur Photovoltaïque en Utilisant les Réseaux NEURO-FLOUS Rev. Energ. Ren. : Chemss 2000 39-44 La Recherche du Point Optimum de Fonctionnement d un Générateur Photovoltaïque en Utilisant les Réseaux NEURO-FLOUS D.K. Mohamed, A. Midoun et F. Safia Département

Plus en détail

Cours 9. Régimes du transistor MOS

Cours 9. Régimes du transistor MOS Cours 9. Régimes du transistor MOS Par Dimitri galayko Unité d enseignement Élec-info pour master ACSI à l UPMC Octobre-décembre 005 Dans ce document le transistor MOS est traité comme un composant électronique.

Plus en détail

TABLE DES MATIÈRES 1. DÉMARRER ISIS 2 2. SAISIE D UN SCHÉMA 3 & ' " ( ) '*+ ", ##) # " -. /0 " 1 2 " 3. SIMULATION 7 " - 4.

TABLE DES MATIÈRES 1. DÉMARRER ISIS 2 2. SAISIE D UN SCHÉMA 3 & '  ( ) '*+ , ##) #  -. /0  1 2  3. SIMULATION 7  - 4. TABLE DES MATIÈRES 1. DÉMARRER ISIS 2 2. SAISIE D UN SCHÉMA 3! " #$ % & ' " ( ) '*+ ", ##) # " -. /0 " 1 2 " 3' & 3. SIMULATION 7 0 ( 0, - 0 - " - & 1 4. LA SOURIS 11 5. LES RACCOURCIS CLAVIER 11 STI Electronique

Plus en détail

ELP 304 : Électronique Numérique. Cours 1 Introduction

ELP 304 : Électronique Numérique. Cours 1 Introduction ELP 304 : Électronique Numérique Cours 1 Introduction Catherine Douillard Dépt Électronique Les systèmes numériques : généralités (I) En électronique numérique, le codage des informations utilise deux

Plus en détail

Présenté par : Sous la direction de :

Présenté par : Sous la direction de : ANNEE UNIVERSITAIRE 2006 2007 LAYOUT DE SWITCHS RF STAGE EFFECTUE A ST MICROELECTRONICS GRENOBLE Rapport de stage de licence professionnelle EISI option microélectronique microsystèmes Présenté par : Sous

Plus en détail

Transmission d informations sur le réseau électrique

Transmission d informations sur le réseau électrique Transmission d informations sur le réseau électrique Introduction Remarques Toutes les questions en italique devront être préparées par écrit avant la séance du TP. Les préparations seront ramassées en

Plus en détail

$SSOLFDWLRQGXNULJHDJHSRXUOD FDOLEUDWLRQPRWHXU

$SSOLFDWLRQGXNULJHDJHSRXUOD FDOLEUDWLRQPRWHXU $SSOLFDWLRQGXNULJHDJHSRXUOD FDOLEUDWLRQPRWHXU Fabien FIGUERES fabien.figueres@mpsa.com 0RWVFOpV : Krigeage, plans d expériences space-filling, points de validations, calibration moteur. 5pVXPp Dans le

Plus en détail

INTRODUCTION A L ELECTRONIQUE NUMERIQUE ECHANTILLONNAGE ET QUANTIFICATION I. ARCHITECTURE DE L ELECRONIQUE NUMERIQUE

INTRODUCTION A L ELECTRONIQUE NUMERIQUE ECHANTILLONNAGE ET QUANTIFICATION I. ARCHITECTURE DE L ELECRONIQUE NUMERIQUE INTRODUCTION A L ELECTRONIQUE NUMERIQUE ECHANTILLONNAGE ET QUANTIFICATION I. ARCHITECTURE DE L ELECRONIQUE NUMERIQUE Le schéma synoptique ci-dessous décrit les différentes étapes du traitement numérique

Plus en détail

Expérience 3 Formats de signalisation binaire

Expérience 3 Formats de signalisation binaire Expérience 3 Formats de signalisation binaire Introduction Procédures Effectuez les commandes suivantes: >> xhost nat >> rlogin nat >> setenv DISPLAY machine:0 >> setenv MATLABPATH /gel/usr/telecom/comm_tbx

Plus en détail

Rapport. Mesures de champ de très basses fréquences à proximité d antennes de stations de base GSM et UMTS

Rapport. Mesures de champ de très basses fréquences à proximité d antennes de stations de base GSM et UMTS Rapport Mesures de champ de très basses fréquences à proximité d antennes de stations de base GSM et UMTS A.AZOULAY T.LETERTRE R. DE LACERDA Convention AFSSET / Supélec 2009-1 - 1. Introduction Dans le

Plus en détail

03/2013. Mod: WOKI-60IP/TR. Production code: DTWIC 6000

03/2013. Mod: WOKI-60IP/TR. Production code: DTWIC 6000 03/2013 Mod: WOKI-60IP/TR Production code: DTWIC 6000 ENCASTRABLE INDUCTION DROP IN INDUCTION 11/2011 TECHNICAL FEATURES DOCUMENTATION S.A.V. Notice d utilisation : FX00326-A Guide d intervention : ---

Plus en détail

". TY convertisseur statique, et des condensateurs de filtrage.

. TY convertisseur statique, et des condensateurs de filtrage. curopaiscnes raiemamt European Patent Office Office européen des brevets Numéro de publication : 0 267 129 A1 (g) DEMANDE DE BREVET EUROPEEN (21) Numéro de dépôt: 87420286.4 @ Date de dépôt: 23.10.87 Int.

Plus en détail

Modules d automatismes simples

Modules d automatismes simples Modules d automatismes simples Solutions pour automatiser Modules d'automatismes Enfin, vraiment simple! Un concentré de solution Pour vos petites applications d'automatismes millenium gère : Temporisations

Plus en détail

ARDUINO DOSSIER RESSOURCE POUR LA CLASSE

ARDUINO DOSSIER RESSOURCE POUR LA CLASSE ARDUINO DOSSIER RESSOURCE POUR LA CLASSE Sommaire 1. Présentation 2. Exemple d apprentissage 3. Lexique de termes anglais 4. Reconnaître les composants 5. Rendre Arduino autonome 6. Les signaux d entrée

Plus en détail

0.8 U N /0.5 U N 0.8 U N /0.5 U N 0.8 U N /0.5 U N 0.2 U N /0.1 U N 0.2 U N /0.1 U N 0.2 U N /0.1 U N

0.8 U N /0.5 U N 0.8 U N /0.5 U N 0.8 U N /0.5 U N 0.2 U N /0.1 U N 0.2 U N /0.1 U N 0.2 U N /0.1 U N Série 55 - Relais industriels 7-10 A Caractéristiques 55.12 55.13 55.14 Relais pour usage général avec 2, 3 ou 4 contacts Montage sur circuit imprimé 55.12-2 contacts 10 A 55.13-3 contacts 10 A 55.14-4

Plus en détail

Introduction : Les modes de fonctionnement du transistor bipolaire. Dans tous les cas, le transistor bipolaire est commandé par le courant I B.

Introduction : Les modes de fonctionnement du transistor bipolaire. Dans tous les cas, le transistor bipolaire est commandé par le courant I B. Introduction : Les modes de fonctionnement du transistor bipolaire. Dans tous les cas, le transistor bipolaire est commandé par le courant. - Le régime linéaire. Le courant collecteur est proportionnel

Plus en détail

Présentation de la plateforme Diagnostic RFID

Présentation de la plateforme Diagnostic RFID Présentation de la plateforme Diagnostic RFID Responsables Philippe Pannier, professeur, Université de Provence Fabien Pellet, Ingénieur, ISEN Toulon Personnels impliqués Equipe Projet RFID Capteurs Ingénieurs

Plus en détail

LES MÉMOIRES FLASH : ENTRE MÉMOIRE VIVE ET MÉMOIRE DE STOCKAGE. Etienne Nowak 12 mars 2015. Etienne Nowak - 12 mars 2015 - GIS-SPADON

LES MÉMOIRES FLASH : ENTRE MÉMOIRE VIVE ET MÉMOIRE DE STOCKAGE. Etienne Nowak 12 mars 2015. Etienne Nowak - 12 mars 2015 - GIS-SPADON LES MÉMOIRES FLASH : ENTRE MÉMOIRE VIVE ET MÉMOIRE DE STOCKAGE Etienne Nowak 12 mars 2015 PRÉSENTATION ETIENNE NOWAK

Plus en détail

Enregistrement automatique. des données

Enregistrement automatique. des données Enregistrement automatique des données Chapitre: 6 Page No.: 1 Il n y a que quelques années que l enregistrement manuel de données géotechniques était de coutume. L introduction de l enregistrement automatique

Plus en détail

Acquisition et conditionnement de l information Les capteurs

Acquisition et conditionnement de l information Les capteurs Acquisition et conditionnement de l information Les capteurs COURS 1. Exemple d une chaîne d acquisition d une information L'acquisition de la grandeur physique est réalisée par un capteur qui traduit

Plus en détail

Evaluation, Certification Axes de R&D en protection

Evaluation, Certification Axes de R&D en protection 2009 Evaluation, Certification Axes de R&D en protection Dr CEA/LETI Alain.merle@cea.fr 1 Evaluation, Certification, Axes de R&D en protection Evaluation / Certification Le Schéma Français de Certification

Plus en détail

La conversion de données : Convertisseur Analogique Numérique (CAN) Convertisseur Numérique Analogique (CNA)

La conversion de données : Convertisseur Analogique Numérique (CAN) Convertisseur Numérique Analogique (CNA) La conversion de données : Convertisseur Analogique Numérique (CAN) Convertisseur Numérique Analogique (CNA) I. L'intérêt de la conversion de données, problèmes et définitions associés. I.1. Définitions:

Plus en détail

500 W sur 13cm avec les modules PowerWave

500 W sur 13cm avec les modules PowerWave 500 W sur 13cm avec les modules PowerWave Philippe Borghini / F5jwf f5jwf@wanadoo.fr Janvier 2012 Introduction Tout le monde a déjà vu au moins une fois, sur les puces, ces fameuses platines PowerWave

Plus en détail

Hiérarchie matériel dans le monde informatique. Architecture d ordinateur : introduction. Hiérarchie matériel dans le monde informatique

Hiérarchie matériel dans le monde informatique. Architecture d ordinateur : introduction. Hiérarchie matériel dans le monde informatique Architecture d ordinateur : introduction Dimitri Galayko Introduction à l informatique, cours 1 partie 2 Septembre 2014 Association d interrupteurs: fonctions arithmétiques élémentaires Elément «NON» Elément

Plus en détail

Oscilloscope actif de précision CONCEPT 4000M

Oscilloscope actif de précision CONCEPT 4000M Oscilloscope actif de précision CONCEPT 4000M ZI Toul Europe, Secteur B 54200 TOUL Tél.: 03.83.43.85.75 Email : deltest@deltest.com www.deltest.com Introduction L oscilloscope actif de précision Concept

Plus en détail

Chapitre 2 : communications numériques.

Chapitre 2 : communications numériques. Chapitre 2 : communications numériques. 1) généralités sur les communications numériques. A) production d'un signal numérique : transformation d'un signal analogique en une suite d'éléments binaires notés

Plus en détail

RFID & MEMS switch combinés pour réaliser un capteur de position

RFID & MEMS switch combinés pour réaliser un capteur de position RFID & MEMS switch combinés pour réaliser un capteur de position Fabrice ROUDET Technology Innovation fabrice.roudet@schneider-electric.com Schneider Electric The global specialist in energy management

Plus en détail

- MANIP 2 - APPLICATION À LA MESURE DE LA VITESSE DE LA LUMIÈRE

- MANIP 2 - APPLICATION À LA MESURE DE LA VITESSE DE LA LUMIÈRE - MANIP 2 - - COÏNCIDENCES ET MESURES DE TEMPS - APPLICATION À LA MESURE DE LA VITESSE DE LA LUMIÈRE L objectif de cette manipulation est d effectuer une mesure de la vitesse de la lumière sur une «base

Plus en détail

Catalogue Produits. 2011 v1

Catalogue Produits. 2011 v1 Catalogue Produits 2011 v1 A propos d Actisense INTRODUCTION Interfaces et Sondes intelligentes Actisense. Depuis plusieurs années Actisense est synonyme d excellence dans le domaine des sondes intelligentes,

Plus en détail

Conception Electronique (CEL) Prof. Maurizio Tognolini

Conception Electronique (CEL) Prof. Maurizio Tognolini Conception Electronique (CEL) Prof. Maurizio Tognolini iai institut d Automatisation industrielle MTI/CEL 19/09/2010 v2.0 1 CEL semestre «automne» 2010-2011 Enseignement: cours et labo: (Chaque semaine)

Plus en détail

MODULES ÉLECTRIQUES. - systèmes électriques DC - onduleurs - convertisseurs - interrupteurs statiques. Notre alimentation Votre confiance

MODULES ÉLECTRIQUES. - systèmes électriques DC - onduleurs - convertisseurs - interrupteurs statiques. Notre alimentation Votre confiance MODULES ÉLECTRIQUES - systèmes électriques DC - onduleurs - convertisseurs - interrupteurs statiques Notre alimentation Votre confiance Système Power 1UDC+ Jusqu à 38 redresseurs et un contrôleur, 1266A

Plus en détail

CAPTEURS - CHAINES DE MESURES

CAPTEURS - CHAINES DE MESURES CAPTEURS - CHAINES DE MESURES Pierre BONNET Pierre Bonnet Master GSI - Capteurs Chaînes de Mesures 1 Plan du Cours Propriétés générales des capteurs Notion de mesure Notion de capteur: principes, classes,

Plus en détail

AMELIORATION DE LA FIABILITE D UN MOTEUR GRÂCE AU TEST STATIQUE ET DYNAMIQUE

AMELIORATION DE LA FIABILITE D UN MOTEUR GRÂCE AU TEST STATIQUE ET DYNAMIQUE AMELIORATION DE LA FIABILITE D UN MOTEUR GRÂCE AU TEST STATIQUE ET DYNAMIQUE Le test statique est un moyen très connu pour évaluer les moteurs électriques. Cependant, si un moteur ne peut pas être arreté,

Plus en détail

III Capteurs et actuateurs

III Capteurs et actuateurs III Capteurs et actuateurs Tous les systèmes électroniques ont en commun qu ils fonctionnent selon le principe ETS (Entrée, Traitement, Sortie) du traitement de l information. ENTRÉE TRAITEMENT SORTIE

Plus en détail

Multitension Monofonction. Multitension Multifonction

Multitension Monofonction. Multitension Multifonction Série - Relais temporisés modulaires 16 A SERIE Caractéristiques.01.11 Relais temporisés multifonction et monofonction.01 - Multifonction et multitension.11 - Temporisé à la mise sous tension, multitension

Plus en détail

Master4Light. Caractérisation Optique et Electrique des Sources Lumineuses. Equipement 2-en-1 : source de courant et spectrophotomètre

Master4Light. Caractérisation Optique et Electrique des Sources Lumineuses. Equipement 2-en-1 : source de courant et spectrophotomètre DSF-M4L-Rev2.0-04/12 Master4Light Equipement 2-en-1 : source de courant et spectrophotomètre Interface graphique logicielle, connexion USB Configuration personnalisable : laboratoire et in-situ http://www.majantys.com

Plus en détail

Relais d'arrêt d'urgence, protecteurs mobiles

Relais d'arrêt d'urgence, protecteurs mobiles PNOZ Relais jusqu'en d'arrêt 11 catégorie d'urgence, 4, EN 954-1 protecteurs mobiles Bloc logique de sécurité pour la surveillance de poussoirs d'arrêt d'urgence et de protecteurs mobiles Homologations

Plus en détail

Filtres passe-bas. On utilise les filtres passe-bas pour réduire l amplitude des composantes de fréquences supérieures à la celle de la coupure.

Filtres passe-bas. On utilise les filtres passe-bas pour réduire l amplitude des composantes de fréquences supérieures à la celle de la coupure. Filtres passe-bas Ce court document expose les principes des filtres passe-bas, leurs caractéristiques en fréquence et leurs principales topologies. Les éléments de contenu sont : Définition du filtre

Plus en détail

Série 77 - Relais statiques modulaires 5A. Caractéristiques. Relais temporisés et relais de contrôle

Série 77 - Relais statiques modulaires 5A. Caractéristiques. Relais temporisés et relais de contrôle Série 77 - Relais statiques modulaires 5A Caractéristiques 77.01.x.xxx.8050 77.01.x.xxx.8051 Relais statiques modulaires, Sortie 1NO 5A Largeur 17.5mm Sortie AC Isolation entre entrée et sortie 5kV (1.2/

Plus en détail

Solutions pour la mesure. de courant et d énergie

Solutions pour la mesure. de courant et d énergie Solutions pour la mesure de courant et d énergie Mesure et analyse de signal Solutions WAGO pour la surveillance et l économie d énergie Boucles de mesure Rogowski, série 855 pour la mesure non intrusive

Plus en détail

ECTS INFORMATIQUE ET RESEAUX POUR L INDUSTRIE ET LES SERVICES TECHNIQUES

ECTS INFORMATIQUE ET RESEAUX POUR L INDUSTRIE ET LES SERVICES TECHNIQUES ECTS INFORMATIQUE ET RESEAUX POUR L INDUSTRIE ET LES SERVICES TECHNIQUES CHAPITRES PAGES I DEFINITION 3 II CONTEXTE PROFESSIONNEL 3 HORAIRE HEBDOMADAIRE 1 er ET 2 ème ANNEE 4 FRANÇAIS 4 ANGLAIS 5 MATHEMATIQUES

Plus en détail

ELEC2753 Electrotechnique examen du 11/06/2012

ELEC2753 Electrotechnique examen du 11/06/2012 ELEC2753 Electrotechnique examen du 11/06/2012 Pour faciliter la correction et la surveillance, merci de répondre aux 3 questions sur des feuilles différentes et d'écrire immédiatement votre nom sur toutes

Plus en détail

Electron S.R.L. - MERLINO - MILAN ITALIE Tel (++ 39 02) 90659200 Fax 90659180 Web www.electron.it, e-mail electron@electron.it

Electron S.R.L. - MERLINO - MILAN ITALIE Tel (++ 39 02) 90659200 Fax 90659180 Web www.electron.it, e-mail electron@electron.it Electron S.R.L. Design Production & Trading of Educational Equipment B3510--II APPLIICATIIONS DE TRANSDUCTEURS A ULTRASONS MANUEL D IINSTRUCTIIONS POUR L ETUDIIANT Electron S.R.L. - MERLINO - MILAN ITALIE

Plus en détail

Contrôle par commande prédictive d un procédé de cuisson sous infrarouge de peintures en poudre.

Contrôle par commande prédictive d un procédé de cuisson sous infrarouge de peintures en poudre. Contrôle par commande prédictive d un procédé de cuisson sous infrarouge de peintures en poudre. Isabelle Bombard, Bruno da Silva, Pascal Dufour *, Pierre Laurent, Joseph Lieto. Laboratoire d Automatique

Plus en détail

DAC. avec interface USB audio et préampli stéréo Casque CONVERTISSEUR DIGITAL VERS ANALOGIQUE. Guide d utilisation V1.1 Jan 2011

DAC. avec interface USB audio et préampli stéréo Casque CONVERTISSEUR DIGITAL VERS ANALOGIQUE. Guide d utilisation V1.1 Jan 2011 réf 2080 avec interface USB audio et préampli stéréo Casque CONVERTISSEUR DIGITAL VERS ANALOGIQUE Guide d utilisation V1.1 Jan 2011 DAC NTRODUCTION Merci d'avoir acheté ce DAC (convertisseur audio numérique-analogique)

Plus en détail

REMOTE DATA ACQUISITION OF EMBEDDED SYSTEMS USING INTERNET TECHNOLOGIES: A ROLE-BASED GENERIC SYSTEM SPECIFICATION

REMOTE DATA ACQUISITION OF EMBEDDED SYSTEMS USING INTERNET TECHNOLOGIES: A ROLE-BASED GENERIC SYSTEM SPECIFICATION REMOTE DATA ACQUISITION OF EMBEDDED SYSTEMS USING INTERNET TECHNOLOGIES: A ROLE-BASED GENERIC SYSTEM SPECIFICATION THÈSE N O 2388 (2001) PRÉSENTÉE AU DÉPARTEMENT D'INFORMATIQUE ÉCOLE POLYTECHNIQUE FÉDÉRALE

Plus en détail

AMC 120 Amplificateur casque

AMC 120 Amplificateur casque AMC 20 Amplificateur casque Manuel Utilisateur FRANCAIS 2 Rami AMC 20 SOMMAIRE Description... Utilisation... Synoptique... Face avant... Face arrière.... Câblage...... Caractéristiques... Informations...

Plus en détail

Introduction à l électronique de puissance Synthèse des convertisseurs statiques. Lycée Richelieu TSI 1 Année scolaire 2006-2007 Sébastien GERGADIER

Introduction à l électronique de puissance Synthèse des convertisseurs statiques. Lycée Richelieu TSI 1 Année scolaire 2006-2007 Sébastien GERGADIER Introduction à l électronique de puissance Synthèse des convertisseurs statiques Lycée Richelieu TSI 1 Année scolaire 2006-2007 Sébastien GERGADIER 28 janvier 2007 Table des matières 1 Synthèse des convertisseurs

Plus en détail

Forthcoming Database

Forthcoming Database DISS.ETH NO. 15802 Forthcoming Database A Framework Approach for Data Visualization Applications A dissertation submitted to the SWISS FEDERAL INSTITUTE OF TECHNOLOGY ZURICH for the degree of Doctor of

Plus en détail

Mode d emploi ALTO MONITOR PROCESSEUR D ÉCOUTE. www.altoproaudio.com Version 1.0 Juillet 2003 Français

Mode d emploi ALTO MONITOR PROCESSEUR D ÉCOUTE. www.altoproaudio.com Version 1.0 Juillet 2003 Français Mode d emploi ALTO MONITOR PROCESSEUR D ÉCOUTE www.altoproaudio.com Version 1.0 Juillet 2003 Français SOMMAIRE 1. INTRODUCTION................................................................... 4 2. FONCTIONNALITÉS................................................................

Plus en détail

Caractéristiques des ondes

Caractéristiques des ondes Caractéristiques des ondes Chapitre Activités 1 Ondes progressives à une dimension (p 38) A Analyse qualitative d une onde b Fin de la Début de la 1 L onde est progressive puisque la perturbation se déplace

Plus en détail

UMG 20CM. UMG 20CM Appareil de surveillance des circuits de distribution avec 20 entrées et RCM. Analyse d harmoniques RCM. Gestion d alarmes.

UMG 20CM. UMG 20CM Appareil de surveillance des circuits de distribution avec 20 entrées et RCM. Analyse d harmoniques RCM. Gestion d alarmes. RCM Analyse d harmoniques Gestion d alarmes 02 Logiciel d analyse GridVis 20 entrées courant UMG 20CM Appareil de surveillance des circuits de distribution avec 20 entrées et RCM Interface / Communikation

Plus en détail

LECTEURS - RFID. RFID-tags

LECTEURS - RFID. RFID-tags LECTEURS - RFID RFID-tags Les fréquences propres à la RFID Classées en quatre groupes: 1.Les "basses fréquences" inférieures à 135 KHz: deux fréquences sont utilisées, le 125 KHz et le 134 KHz ; 2.Les

Plus en détail

On distingue deux grandes catégories de mémoires : mémoire centrale (appelée également mémoire interne)

On distingue deux grandes catégories de mémoires : mémoire centrale (appelée également mémoire interne) Mémoire - espace destiné a recevoir, conserver et restituer des informations à traiter - tout composant électronique capable de stocker temporairement des données On distingue deux grandes catégories de

Plus en détail

IFT1215 Introduction aux systèmes informatiques

IFT1215 Introduction aux systèmes informatiques Introduction aux circuits logiques de base IFT25 Architecture en couches Niveau 5 Niveau 4 Niveau 3 Niveau 2 Niveau Niveau Couche des langages d application Traduction (compilateur) Couche du langage d

Plus en détail

Intérêt du découpage en sous-bandes pour l analyse spectrale

Intérêt du découpage en sous-bandes pour l analyse spectrale Intérêt du découpage en sous-bandes pour l analyse spectrale David BONACCI Institut National Polytechnique de Toulouse (INP) École Nationale Supérieure d Électrotechnique, d Électronique, d Informatique,

Plus en détail

Gestion et entretien des Installations Electriques BT

Gestion et entretien des Installations Electriques BT Durée : 5 jours Gestion et entretien des Installations Electriques BT Réf : (TECH.01) ² Connaître les paramètres d une installation basse tension, apprendre les bonnes méthodes de gestion et entretien

Plus en détail

Etude de FP3 commande moteur et électroaimant. Déplacement carte et connexion

Etude de FP3 commande moteur et électroaimant. Déplacement carte et connexion Etude de FP3 commande moteur et électroaimant Déplacement carte et connexion Décomposition fonctionnelle. - Définir le rôle et caractériser les entrées-sorties de FP3 permettant la commande du moteur et

Plus en détail

LA MESURE INDUSTRIELLE

LA MESURE INDUSTRIELLE E02 LA MESURE INDUSTRIELLE 20 Heures Technicien responsable de la maintenance Approfondir les techniques de mesure; Prendre en compte l aspect métrologie. Connaître les limites et les facteurs d influences

Plus en détail

Nom de l application

Nom de l application Ministère de l Enseignement Supérieur et de la Recherche Scientifique Direction Générale des Etudes Technologiques Institut Supérieur des Etudes Technologiques de Gafsa Département Technologies de l Informatique

Plus en détail

THÈSE. présentée à TÉLÉCOM PARISTECH. pour obtenir le grade de. DOCTEUR de TÉLÉCOM PARISTECH. Mention Informatique et Réseaux. par.

THÈSE. présentée à TÉLÉCOM PARISTECH. pour obtenir le grade de. DOCTEUR de TÉLÉCOM PARISTECH. Mention Informatique et Réseaux. par. École Doctorale d Informatique, Télécommunications et Électronique de Paris THÈSE présentée à TÉLÉCOM PARISTECH pour obtenir le grade de DOCTEUR de TÉLÉCOM PARISTECH Mention Informatique et Réseaux par

Plus en détail