Architecture pour les systèmes multimédia

Dimension: px
Commencer à balayer dès la page:

Download "Architecture pour les systèmes multimédia"

Transcription

1 Architecture pour les systèmes multimédia SÉANCE 2 : LES FPGAS LORANDEL Jordane jordane.lorandel@u-cergy.fr (Sur les bases du cours de B. Miramond)

2 Slides du cours disponibles ici : 2

3 Plan I.Problématiques et techniques de conception II.Les FPGAs III.Microprocesseur NIOS-II IV.Présentation du sujet de projet 3

4 Partie II. Les FPGAs 4

5 Sommaire 1.Pourquoi des circuits reconfigurables? 2.Les PLDs 3.Les CPLDs 4.Les FPGAs 5.Xilinx vs Altera 5

6 1.Pourquoi des circuits reconfigurables? Un circuit est dit configurable (ou programmable) lorsque sa fonctionnalité n est pas définie lors de sa fabrication mais peut être spécifiée plus tard par une configuration (un programme) La programmation comme mesure de flexibilité d un circuit 6

7 Vers des circuits reconfigurables Créer des sortes de «gate arrays» dont les caractéristiques logiques sont programmables électriquement (plutôt que gravés physiquement) 7

8 Flexibilité vs performances Flexibilité General Purpose Processor DSP Domain Specific FPGA Reconfigurable ASIC Application Specific Performances 8

9 FPGA vs ASIC Critère FPGA ASIC Temps de Faible Elevé développement Coûts Faibles Très élevés Consommation Moyenne Très faible Performances Moyennes Excellente Flexibilité Bonne Faible Temps de conception d un ASIC est environ 55% plus long que celui d un FPGA 9

10 Procédés technologiques Fusible Anti-Fusible EPROM Programmable 1 fois, techno bipolaire Programmable 1 fois, techno CMOS Programmable grâce aux UVs, techno CMOS EEPROM FLASH SRAM Programmable à volonté, techno CMOS Programmable à volonté, techno FLASH Programmable à volonté, techno CMOS Volatile non-volatile meilleure intégration que CMOS 10

11 Technologies des éléments programmables Reprogrammabilité Vitesse de reprogrammation Volatile Surface Technologie Fusible Non - Non ++++ Bipolaire Anti-fusible Non - Non ++++ CMOS PROM Non - Non +++ CMOS UVEPROM Oui (hors du circuit) + Non +++ UVCMOS EEPROM FLASH SRAM Oui (dans le circuit) ++ Non ++ EECMOS Oui (dans le circuit) +++ Non + CMOS Oui (dans le circuit) +++ Oui + CMOS 11

12 Marché des systèmes embarqués en Europe Taux de croissance annuel moyen de 5.3% Marché atteignant 62 milliards de $ en > porté par l IoT, les smart grids, les véhicules intelligents, 12

13 Marché des FPGAs Taux de croissance annuel moyen de 8.4% entre En 2013 : 47% du marché - Xilinx 45% du marché - Altera+ Lattice Autres entreprises importantes : -Microsemi, -Achronix, -Tabula, -Cypress Semiconductors, -Quick Logic, 13

14 Positionnement des circuits logiques programmables Circuits intégrés pour la conception de systèmes Circuits classiques ASIC Processeurs, DSP, Périphériques, Mémoires, Full Custom Pré-diffusés -Sea of gates -Gate array Précaractérisés -Standard Cells -Cell-based CLP -SPLD : PAL,.. -CPLD, -FPGA 14

15 2. Les circuits programmables (Programmable Logic Devices) PLD / CLP SPLD : Simple Programmable Logic Device CPLD : Complex Programmable Logic Device FPGA : Field Programmable Gate Array PAL : Programmable Array Logic PLA : Programmable Logic Array GAL : Generic Array Logic (Lattice) PROM : UVPROM, Type SRAM Type Flash, Anti-fusible, 15

16 SPLD : Simple Programmable Logic Device Principe : Toute fonction peut s exprimer sous la forme d un produit de min-termes (cas particulier de la somme de produits) Un min-terme est un produit d éléments dans lequel chaque variable apparaît une seule fois Une fonction à n variables a 2 n min-termes Ex n=2 : f(x,y) -> x y,xy,x y,xy Elle est facilement identifiable à l aide d une table de vérité x y x=0, y=0 x y x=0, y=1 xy x=1, y=0 xy x=1, y=1 Le circuit est donc composé d une matrice de portes AND et d une matrice de portes OR prédiffusées Seule l interconnexion est programmable 16

17 PAL : Programmable Array of Logic Seule l interconnexion de la matrice (AND) se programme 17

18 PLA : Programmable Logic Array Les deux matrices AND/OR sont programmables 18

19 Compromis Flexibilité Performances PLA ++ - PAL

20 Simple Programmable Logic Device or Sequential PAL Introduction des registres => Séquentiel, bascule D -> Permet d utiliser des sorties en entrées 20

21 3. Les CPLDs (Complex Programmable Logic Devices) 21

22 Limitations du PLD Impossibilité d implémenter des fonctions à multi-niveaux, de partager des produits entre fonctions Avec les CPLDs, on peut non-seulement programmer la fonctionnalité des cellules mais aussi les interconnexions entre cellules Contrairement aux FPGAs, il n y a qu un seul chemin entre deux points 22

23 Complex PLD L es CPLDs regroupent plusieurs PLD interconnectés par une matrice d interconnexions programmables E/S PLD PLD Interconnection Matrix PLD PLD E/S 23

24 Le premier CPLD (Altera) En 1984, Altera fournit une première solution utilisable de CPLD Utilisée pour le prototype rapide Architecture : en ensemble de macro-cellules et un réseau d interconnexions Quelques chiffres : portes dont utilisables Equivalent à 50 à 100 PALs 24

25 Les CPLDs actuels (Altera) Aujourd hui, Altera produit la série appelée MAX (Multiple Array Matrix) altera.com 25

26 Limitations Problème au niveau de la scalabilité quadratique de la matrice d interconnexion entre les PLDs, limitant la taille des designs à prototypes Mais les CPLDs offre de la prédictibilité au niveau des temps d interconnexion A titre d exemple, la série MAX10 d Altera délivre des CPLDs contenant de 2k à 50k d éléments logiques (LE) Les FPGAs Stratix-10 possèdent de 484k à 5500k de LEs 26

27 4. Les FPGAs (Field Programmable Gate Arrays) Principe général Structure Architecture des FPGAs (Xilinx et Altera) 27

28 CPLD -> FPGA 1985, Xilinx lance le premier Field Programmable Gate Array (FPGA), le XC2064 (800 portes, 2um) La principale différence est sa scalabilité sans perte de performances Son inconvénient est le manque de prédictibilité des temps d interconnexion source image : siliconpr0n.org 28

29 Différences Les cellules logiques sont placées dans une topologie donnée, et reliées par une infrastructure d interconnexion. Leur fonction est programmable, Les chemins entre 2 cellules sont multiples et les temps de propagation ne sont connus qu après le routage! Les cellules externes (IO cells) ne sont pas programmables fonctionnellement mais en : -direction, -tension, -bufferisation, Avantage majeur : LE TEMPS DE CONCEPTION 29

30 Architecture générale 30

31 Xilinx Virtex-2 31

32 Comparaison avec le Pentium IV 32

33 Pourquoi les FPGAs? 80% des designs d ASIC peuvent être prototypés sur FPGA 33

34 Pourquoi les FPGAs? Besoin de prototypage rapide sur FPGA pour diminuer les coûts de développement 34

35 Pourquoi les FPGAs? Réduction du Time-To-Market Time-To-Market Potential Sales Achieved First-to-Market 100 % 3 months late 73 % 6 months late 53 % 9 months late 32 % Pertes allant de 27 % à 3 mois et jusqu à 91% avec un an de retard 12 months late 9 % 35

36 Principe simplifié 36

37 Principe simplifié 37

38 Fichier de configuration : le bitstream Le bitstream représente le fichier de configuration de l ensemble des éléments configurables du circuit Un transfert de bitstream est nécessaire à la mise sous tension (CPLD, SRAM) et à chaque reconfiguration (EPROM) 38

39 Flot de conception du FPGA Description HDL, schématique Synthèse Simulation Fonctionnelle Les étapes dites d implémentation sont dépendantes du FPGA choisi Implémentation Placement Routage Simulation Temporelle Génération du Bitstream 39

40 Configuration Reconfiguration statique -Tout le bitstream du design est chargé dans le FPGA -L exécution est interrompue Reconfiguration partielle statique -Seule une portion du bitstream est chargée sur le FPGA, -l exécution est interrompue pendant une durée plus petite Reconfiguration dynamique partielle -Une portion du FPGA est reconfigurée pendant que le reste continu de s exécuter (-> SRAM) 40

41 Architecture des FPGAs Architecture générale CLB : Configurable Logic Block Structure générale d un FPGA Schéma de base d un bloc logique configurable (CLB) 41

42 Architecture des FPGAs 2 types d architecture qui diffèrent selon la complexité du bloc logique élémentaire (CLB) -Architecture à grains fins : la cellule est constituée de simples blocs logiques :. quelques portes logiques. 1 FF (Flip-Flop) -Architecture à grains épais : la cellule correspond à un chemin de données configurable, elle est constituée de larges blocs logiques :. 2 ou plus LUT (Look-Up Table). 2 ou plus FF (Flip-Flop Un circuit à grain fin implique un grand nombre de cellules et donc un routage plus complexe A l inverse du circuit à grain épais mais exploite moins bien sa structure (utilisation des cellules) 42

43 Elément de base du FPGA : Le CLB CLB : Configurable Logic Block Il est constitué de : Un ensemble configurable d entrées Plusieurs LUT à 2,3,4,5 entrées Un système de connexions internes Un élément séquentiel : registre, latch Il permet donc d implanter des fonctions séquentielles, combinatoires ou les deux 43

44 La Look-Up Table (LUT) La LUT est une mémoire permettant d implémenter une table de vérité Ainsi, une valeur de sortie est associée pour chaque combinaison de n entrées. Exemple d une LUT à 2 entrées -> 2^2 combinaisons d entrées A B S Fonction XOR implémentée sous forme d une mémoire MUX 4 vers 1 S A B 44

45 Les interconnexions Le réseau d interconnexion est prédiffusé, Il existe plusieurs chemins entre deux ports, Chaque point de programmation est contrôlé par un bit de SRAM (5 transistors) Cellule SRAM 45

46 Les blocs d Entrée/Sortie Possibilités :.Entrée et/ou sortie directe,.entrée et/ou sortie mémorisée Driver de sortie à 3 états adaptation électrique 46

47 Autres blocs dans un FPGA Distribution et génération d horloge (PLL, DLL ) Blocs mémoires embarqués Blocs spécifiques - bloc matériel DSP (multiplieurs, additionneurs, registre) - processeur embarqué, - High-speed serial transceivers 47

48 Vue générale 48

49 Les FPGAs Xilinx 1984, premier FPGA appelé alors LCA (Logic Cell Array) Aujourd hui, 1er fournisseur devant Altera Plusieurs séries de circuits : -Virtex -Spartan (Low-cost) -Artix (Low-power) -Kintex Et Des SoCs : -ZynQ-7000 combinant 1 dual Core ARM cortex A9 et un FPGA série 7 49

50 Les FPGAs Xilinx Le XC4000 (ancien, 1999) contient plusieurs milliers de cellules et nécessite 650 bits de configuration pour chaque cellule et ses interconnexions. Le chargement du bitstream prend plusieurs milli-secondes Il est impossible de configurer une partie du FPGA 2 fonctions de 4 variables ou 1 fonction à 5 variables CLB simplifié du XC bascules D 50

51 Architecture du Virtex-2 Pro (~2002) 51

52 Architecture du CLB des Virtex-6 (~2009) 1 slice possède 4 LUTs, 8 FF, des MUX, fonctions logiques. -SliceL -> Fonction Logique et arithmétique -SliceM -> Fonction Logique et Mémoire 52

53 Architecture du CLB des Virtex-6 (~2009) Architecture simplifiée d un slice pour les FPGAs Virtex-6 53

54 Architecture du CLB des Virtex-6 (~2009) Architecture simplifiée d un slice pour les FPGAs Virtex-6 LUT/RAM/SRL MUX Carry logic (retenue propagée verticalement) FF et FF/Latch 54

55 Virtex-6 vs Spartan-6 55

56 Dimensionnement du circuit 56

57 Configuration via des trames Chaque frame de configuration comporte 81x32 bits = 2592 bits 57

58 Reconfiguration dynamique partielle Méthodes de reconfiguration supportées par le Virtex-7 de Xilinx (SoC) Le procédé de reconfiguration dynamique peut être initié par un processeur externe ou par la logique interne du FPGA : -Extérieurement : Utilisation l interface série de configuration, le port JTAG, ou le Processor Configuration Access Port (PCAP) -Intérieurement : utilisation du port ICAP (Internal Configuration Access Port) grâce à une machine à état ou d un processeur embarqué (ex: MicroBlaze ) 58

59 Reconfiguration dynamique partielle ICAP : Grâce à ce port, il est possible de lire la configuration actuelle d une partie du FPGA, de la modifier puis de la recharger 59

60 Les mémoires - Xilinx Virtex&Spartan-6 Bloc mémoire de base double ports de 36kB Configurations supportées : -32Kx1-16Kx2-8Kx4-4Kx9(or8) -2Kx18(or16) -1Kx36(or32) -512x72(or64) Possibilité de cascader 2 blocs RAM adjacents (64kB) 60

61 Environnement de conception ISE ( Integrated Software Environment) Design Suite VIVADO : Préconisé pour le développement de design sur la série 7 des FPGAs de Xilinx (SoC) -Suppléments de Vivado :.Synthèse de haut niveau (C/C++).nouvel algo de placement/routage.réduction de l empreinte mémoire (4X plus rapide).développement système centré autour de la réutilisation d IP 61

62 Utilitaire d IP Xilinx Xilinx Core Generator (ISE) 62

63 Quelques plateformes Xilinx ML605 ZedBoard (Zynq) 63

64 Architecture des FPGAs Altera 1983, Fondation d Altera 1er circuit commercialisé en 1984 (Europe 1985) : l EP300 1er CPLD en 1988 : MAX (Multiple Array Matrix) 1992, 1er FPGA : le Flex8000 (15k portes) Plusieurs séries de circuits FPGA: -Stratix, -Arria -Cyclone, -Max (structured Asic, non-volatile) Et Des SoCs : -Arria 10, Stratix10, Arria V et Cyclone V 64

65 Le Flex8000 Technologie Flex (Flexible Logic Element matrix) Utilisation d une RAM pour stocker la configuration Programmation rapide série ou parallèle Elément principal est appelé LAB (Logic Array Block) et qui est constitué de LE (Logic Element) 65

66 Le Flex LAB = 10 LEs Routage suivant ligne et colonne 66

67 Architecture du Logic Element (Flex 8000) 1 LAB = 10 LEs (Element de base) LUT-4 : implantation de fonction combinatoire à 4 entrées Carry Chain : propagation de retenue entre LE (<1ns) Mise en cascade : utilisé pour des fonctions nécessitant la propagation de signaux (additionneurs, ) 1 bascule configurable (D, T, JK, RS) ou possibilité de la supprimer 67

68 Architecture du bloc IO (Flex8000) IO Element : Contient un buffer bidirectionnel + un registre permettant d augmenter la vitesse : -rapide temps de setup en entrée -sortie rapide (clock-tooutput) 68

69 Les FPGAs Cyclone (IV) Technologie SRAM FPGA très faible coût, faible consommation 6K à 150K d éléments logiques (LE) Jusqu à 6,3 Mb de mémoire embarquée Jusqu à x18 multiplieurs DSP 69

70 Les FPGAs Cyclone (IV) Technologie SRAM FPGA très faible coût, faible consommation 6K à 150K d éléments logiques (LE) Jusqu à 6,3 Mb de mémoire embarquée Jusqu à x18 multiplieurs DSP 70

71 Les FPGAs Cyclone (IV) Architecture du LE 71

72 Les FPGAs Cyclone (IV) Architecture du LE : 2 modes de configuration -Mode normal : -Mode arithmétique fonctions logiques et combinatoires fonctions arithmétiques (compteur, adder, comp, acc ) 72

73 Les interconnexions (Cyclone IV) LAB interconnect : Interconnexions en lignes et colonnes et les sorties du même LAB + connexions aux LAB adjacents Local interconnect : connexion rapide entre LEs du même LAB 73

74 Comparaison Xilinx CLB / Altera LE Xilinx Virtex-6 Altera Stratix 6 74

75 Les mémoires - Altera Le système TriMatrix (ex:stratix II) 3 tailles de mémoires embarquées pour adresser les différents besoins -Petite Fifo -> M512 -mémoire programme de processeur -> M4K -buffer de trame vidéo -> M- RAM 75

76 Les mémoires - Altera Le système TriMatrix (ex:stratix II) 76

77 Comparaison des blocs DSP Xilinx DSP48E2 Altera Variable-Precision DSP block 77

78 Comparaison des blocs DSP Xilinx DSP48E2 Altera Variable-Precision DSP block Mêmes éléments : Pré-additionneurs Multiplieurs Accumulateurs 78

79 Distribution d horloge Global Clocks Cyclone V clock management Regional Clocks Virtex-6 clock management 79

80 Vue générale Stratix 80

81 Quelques plateformes d Altera DE-2 board (Cyclone II) DE-5 board (Stratix V) 81

82 Outil de conception 82

83 Utilitaire d IP Altera MegaWizard Plug-In Manager 83

84 Conclusion FPGA : -Circuit reconfigurable qui a tué l ASIC -Performances inférieures mais flexibilité accrue -Le temps et les outils de conception sont nettement inférieures -Possibilité de reconfiguration partielle en cours d exécution -Contrainte limitante est le temps de reconfiguration -Conception possible de SoC -> besoin de nouveaux outils 84

85 Prochain cours Le microprocesseur NIOS-II d ALTERA 85

86 Bibliographie [1] B. Miramond, Conception des SoCs, SoC.html [2] B. Miramond, SystemC, Joined Design at System Level, [3] A. Tisserand, Introduction aux circuits FPGAs, Séminaire MIM, 2003 [4] Altera, Logic Elements and Logic Array Blocks in Cyclone IV Devices, in Cyclone IV Device Handbook, Vol. 1, Nov [5] Altera, Flex8000 Programmable Logic Device Family, Datasheet ver. 11.1, January 2003 [6] Xilinx, XC4000E and XC4000X Series Field Programmable Gate Arrays, Product Specification, v1.6, 1999 [7] Xilinx, Xilinx FPGA Embedded Memory advantages, White Paper: Virtex-6 and Spartan-6 Families, Feb

DOCUMENT PROTEGE PAR UN DROIT DE COPIE. CPLD ou FPGA Critères de choix. page 1

DOCUMENT PROTEGE PAR UN DROIT DE COPIE. CPLD ou FPGA Critères de choix. page 1 Une des caractéristiques du domaine des circuits programmables est d être résolument moderne, tirant parti des évolutions concernant les procédés technologiques, la propriété intellectuelle(ip), l Internet,

Plus en détail

Conception de circuits numériques et architecture des ordinateurs

Conception de circuits numériques et architecture des ordinateurs Conception de circuits numériques et architecture des ordinateurs Frédéric Pétrot et Sébastien Viardot Année universitaire 2011-2012 Structure du cours C1 C2 C3 C4 C5 C6 C7 C8 C9 C10 C11 C12 Codage des

Plus en détail

Chapitre 1 : Introduction aux méthodologies de conception et de vérification pour SE

Chapitre 1 : Introduction aux méthodologies de conception et de vérification pour SE Chapitre 1 : Introduction aux méthodologies de conception et de vérification pour SE 1. Rappel de ce qu est un SE 2. Conception au niveau système (ESL) Méthodologie de conception (codesign logiciel/matériel)

Plus en détail

Potentiels de la technologie FPGA dans la conception des systèmes. Avantages des FPGAs pour la conception de systèmes optimisés

Potentiels de la technologie FPGA dans la conception des systèmes. Avantages des FPGAs pour la conception de systèmes optimisés Potentiels de la technologie FPGA dans la conception des systèmes Avantages des FPGAs pour la conception de systèmes optimisés Gérard FLORENCE Lotfi Guedria Agenda 1. Le CETIC en quelques mots 2. Générateur

Plus en détail

Quoi de neuf en LabVIEW FPGA 2010?

Quoi de neuf en LabVIEW FPGA 2010? Quoi de neuf en LabVIEW FPGA 2010? Yannick DEGLA Ingénieur d Application Fonctionnalités de LabVIEW FPGA 2010 Nœud d intégration d IP - Importer directement des fichiers.xco de Xilinx ou vos propres VHDL

Plus en détail

MAC-TC: programmation d un plate forme DSP-FPGA

MAC-TC: programmation d un plate forme DSP-FPGA MAC-TC: programmation d un plate forme DSP-FPGA Tanguy Risset avec l aide de: Nicolas Fournel, Antoine Fraboulet, Claire Goursaud, Arnaud Tisserand - p. 1/17 Plan Partie 1: le système Lyrtech Introduction

Plus en détail

On distingue deux grandes catégories de mémoires : mémoire centrale (appelée également mémoire interne)

On distingue deux grandes catégories de mémoires : mémoire centrale (appelée également mémoire interne) Mémoire - espace destiné a recevoir, conserver et restituer des informations à traiter - tout composant électronique capable de stocker temporairement des données On distingue deux grandes catégories de

Plus en détail

Conception et Intégration de Systèmes Critiques

Conception et Intégration de Systèmes Critiques Conception et Intégration de Systèmes Critiques 15 12 18 Non 50 et S initier aux méthodes le développement de projet (plan de développement, intégration, gestion de configuration, agilité) Criticité temporelle

Plus en détail

Introduction à l architecture des ordinateurs. Adrien Lebre Décembre 2007

Introduction à l architecture des ordinateurs. Adrien Lebre Décembre 2007 Introduction à l architecture des ordinateurs Adrien Lebre Décembre 2007 Plan - partie 1 Vue d ensemble La carte mère Le processeur La mémoire principal Notion de bus Introduction à l architecture des

Plus en détail

QUESTION 1 {2 points}

QUESTION 1 {2 points} ELE4301 Systèmes logiques II Page 1 de 8 QUESTION 1 {2 points} En se servant de paramètres électriques donnés dans le Tableau 1 ci-dessous, on désire déterminer la fréquence d opération du compteur présenté

Plus en détail

Séminaire RGE REIMS 17 février 2011

Séminaire RGE REIMS 17 février 2011 Séminaire RGE REIMS 17 février 2011 ADACSYS Présentation des FPGA Agenda Spécificité et différences par rapport aux autres accélérateurs Nos atouts Applications Approche innovante Document confidentiel

Plus en détail

Thème 3 Conception et vérification d architectures de systèmes sur puce

Thème 3 Conception et vérification d architectures de systèmes sur puce Thème 3 Conception et vérification d architectures de systèmes sur puce Conception et simulation Frédéric Pétrot Vérification Laurence Pierre Conception et vérification d architectures de systèmes sur

Plus en détail

ELP 304 : Électronique Numérique. Cours 1 Introduction

ELP 304 : Électronique Numérique. Cours 1 Introduction ELP 304 : Électronique Numérique Cours 1 Introduction Catherine Douillard Dépt Électronique Les systèmes numériques : généralités (I) En électronique numérique, le codage des informations utilise deux

Plus en détail

Chapitre 4 : Les mémoires

Chapitre 4 : Les mémoires 1. Introduction: Chapitre 4 : Les mémoires Nous savons que dans un ordinateur toutes les informations : valeur numérique, instruction, adresse, symbole (chiffre, lettre,... etc.) sont manipulées sous une

Plus en détail

Notions d IPMI et retour. Ecole d électronique numérique Fréjus 28 novembre 2012 Nicolas LETENDRE

Notions d IPMI et retour. Ecole d électronique numérique Fréjus 28 novembre 2012 Nicolas LETENDRE Notions d IPMI et retour d experience du LAPP Ecole d électronique numérique Fréjus 28 novembre 2012 Nicolas LETENDRE L IPMI (Intelligent Platform Management Interface) Définition d Interfaces de bas niveau

Plus en détail

Quoi de neuf en contrôle/commande et systèmes embarqués (RIO, WSN...)?

Quoi de neuf en contrôle/commande et systèmes embarqués (RIO, WSN...)? Quoi de neuf en contrôle/commande et systèmes embarqués (RIO, WSN...)? Mathieu PACE National Instruments, Ingénieur d applications L architecture RIO se développe Processeur FPGA E/S E/S E/S personnalisées

Plus en détail

Hiérarchie matériel dans le monde informatique. Architecture d ordinateur : introduction. Hiérarchie matériel dans le monde informatique

Hiérarchie matériel dans le monde informatique. Architecture d ordinateur : introduction. Hiérarchie matériel dans le monde informatique Architecture d ordinateur : introduction Dimitri Galayko Introduction à l informatique, cours 1 partie 2 Septembre 2014 Association d interrupteurs: fonctions arithmétiques élémentaires Elément «NON» Elément

Plus en détail

VIII- Circuits séquentiels. Mémoires

VIII- Circuits séquentiels. Mémoires 1 VIII- Circuits séquentiels. Mémoires Maintenant le temps va intervenir. Nous avions déjà indiqué que la traversée d une porte ne se faisait pas instantanément et qu il fallait en tenir compte, notamment

Plus en détail

Conception Systèmes numériques VHDL et synthèse automatique des circuits

Conception Systèmes numériques VHDL et synthèse automatique des circuits Année 2008-2009 Conception Systèmes numériques VHDL et synthèse automatique des circuits Travaux pratiques Pentium4 Présentation du simulateur VHDL sous environnement Cadence Présentation de l outil Synopsys

Plus en détail

Vers du matériel libre

Vers du matériel libre Février 2011 La liberté du logiciel n est qu une partie du problème. Winmodems Modem traditionnel Bon fonctionnement Plus cher Electronique propriétaire Blob sur DSP intégré au modem Bien reçu par les

Plus en détail

IFT1215 Introduction aux systèmes informatiques

IFT1215 Introduction aux systèmes informatiques Introduction aux circuits logiques de base IFT25 Architecture en couches Niveau 5 Niveau 4 Niveau 3 Niveau 2 Niveau Niveau Couche des langages d application Traduction (compilateur) Couche du langage d

Plus en détail

THÈSE DEVANT L UNIVERSITÉ DE RENNES 1

THÈSE DEVANT L UNIVERSITÉ DE RENNES 1 N d ordre : 3881 THÈSE présentée DEVANT L UNIVERSITÉ DE RENNES 1 pour obtenir le grade de : DOCTEUR DE L UNIVERSITÉ DE RENNES 1 Mention : Traitement du Signal et Télécommunications par Julien LALLET Équipe

Plus en détail

Conférence sur les microcontroleurs.

Conférence sur les microcontroleurs. Conférence sur les microcontroleurs. Le microcontrôleur Les besoins et le développement. Vers 1970, pour des calculs (calculatrice). Le premier est le 4004 de Intel, 90K. La technologie. Les 2 principales

Plus en détail

Electronique Numérique

Electronique Numérique Electronique Numérique 1er tome Systèmes combinatoires Etienne Messerli Yves Meyer Septembre 2010 Version 1.4 Mise à jour de ce manuel La base du présent manuel a été écrit par M. Yves Meyer de l'école

Plus en détail

Modélisation des interfaces matériel/logiciel

Modélisation des interfaces matériel/logiciel Modélisation des interfaces matériel/logiciel Présenté par Frédéric Pétrot Patrice Gerin Alexandre Chureau Hao Shen Aimen Bouchhima Ahmed Jerraya 1/28 TIMA Laboratory SLS Group 46 Avenue Félix VIALLET

Plus en détail

Architecture des ordinateurs TD1 - Portes logiques et premiers circuits

Architecture des ordinateurs TD1 - Portes logiques et premiers circuits Architecture des ordinateurs TD1 - Portes logiques et premiers circuits 1 Rappel : un peu de logique Exercice 1.1 Remplir la table de vérité suivante : a b a + b ab a + b ab a b 0 0 0 1 1 0 1 1 Exercice

Plus en détail

<Insert Picture Here> Solaris pour la base de donnés Oracle

<Insert Picture Here> Solaris pour la base de donnés Oracle Solaris pour la base de donnés Oracle Alain Chéreau Oracle Solution Center Agenda Compilateurs Mémoire pour la SGA Parallélisme RAC Flash Cache Compilateurs

Plus en détail

Les portes logiques. Voici les symboles des trois fonctions de base. Portes AND. Portes OR. Porte NOT

Les portes logiques. Voici les symboles des trois fonctions de base. Portes AND. Portes OR. Porte NOT Les portes logiques Nous avons jusqu ici utilisé des boutons poussoirs et une lampe pour illustrer le fonctionnement des opérateurs logiques. En électronique digitale, les opérations logiques sont effectuées

Plus en détail

KX GPRS SERIAL ETHERNET MODEM Le modem GPRS/EDGE «Machine to Machine»

KX GPRS SERIAL ETHERNET MODEM Le modem GPRS/EDGE «Machine to Machine» KX GPRS SERIAL ETHERNET MODEM Le modem GPRS/EDGE «Machine to Machine» Kx GPRS Serial Ethernet Modem Fiable et performant, le Kx GPRS Serial Ethernet Modem est le modem M2M professionnel sans fil par excellence.

Plus en détail

Conception de Systèmes de Communications Numériques

Conception de Systèmes de Communications Numériques Conception de Systèmes de Communications Numériques CSCN Markus Muck, Xavier Miet Markus.Muck@motorola.com Motorola Labs Paris (CRM) -1 - Motorola Labs CRM Paris Motorola consacre chaque année environ

Plus en détail

Aiguilleurs de courant intégrés monolithiquement sur silicium et leurs associations pour des applications de conversion d'énergie

Aiguilleurs de courant intégrés monolithiquement sur silicium et leurs associations pour des applications de conversion d'énergie Aiguilleurs de courant intégrés monolithiquement sur silicium et leurs associations pour des applications de conversion d'énergie ABDELILAH EL KHADIRY ABDELHAKIM BOURENNANE MARIE BREIL DUPUY FRÉDÉRIC RICHARDEAU

Plus en détail

Les solutions National Instruments pour le Model In-The-Loop (MIL) et le prototypage rapide (RCP)

Les solutions National Instruments pour le Model In-The-Loop (MIL) et le prototypage rapide (RCP) Les solutions National Instruments pour le Model In-The-Loop (MIL) et le prototypage rapide (RCP) Karine Rouelle Business Development Manager Real-Time Testing Au programme Mise en œuvre du Model-In-the-Loop

Plus en détail

StruxureWare Power Monitoring v7.0. La nouvelle génération en matière de logiciel de gestion complète d énergie

StruxureWare Power Monitoring v7.0. La nouvelle génération en matière de logiciel de gestion complète d énergie StruxureWare Power Monitoring v7.0 La nouvelle génération en matière de logiciel de gestion complète d énergie Évolution des deux plate-formes originales Power Monitoring v7.0 SMS ION Enterprise 2012 Struxureware

Plus en détail

Modélisation de la Reconfiguration Dynamique appliquée à un décodeur LDPC Non Binaire

Modélisation de la Reconfiguration Dynamique appliquée à un décodeur LDPC Non Binaire Modélisation de la Reconfiguration Dynamique appliquée à un décodeur LDPC Non Binaire LAURA CONDE-CANENCIA 1, JEAN-CHRISTOPHE.PREVOTET 2, YASET OLIVA 2, YVAN EUSTACHE 1 1 Université Européenne de Bretagne

Plus en détail

StruxureWare Power Monitoring Expert v7.2

StruxureWare Power Monitoring Expert v7.2 StruxureWare Power Monitoring Expert v7.2 Disponible maintenant Sept 2013 Mise à jour vers PME 7.2 ION-E 6 6.0 6.0.1 Service Pack Gratuit Paid Upgrade SPM 7 7.0 7.0.1 Service Pack Gratuit Paid Upgrade

Plus en détail

Logique séquentielle

Logique séquentielle Bascules et logique séquentielle aniel Etiemble de@lri.fr Logique séquentielle Logique séquentielle Le système a des «états» ans un système séquentiel Éléments de mémorisation Les sorties dépendent des

Plus en détail

Organisation des Ordinateurs

Organisation des Ordinateurs Organisation des Ordinateurs Bernard Boigelot E-mail : boigelot@montefiore.ulg.ac.be URL : http://www.montefiore.ulg.ac.be/~boigelot/ http://www.montefiore.ulg.ac.be/~boigelot/cours/org/ 1 Chapitre 1 Les

Plus en détail

Les liaisons SPI et I2C

Les liaisons SPI et I2C DAMÉCOURT BENJAMIN AVRIL 28 Liaisons synchrones Les liaisons SPI et I2C Face arrière d un imac : trois ports USB, un port Firewire 4 et un port Firewire 8 CHRONOLOGIE ANNÉES 7 La liaison SPI et la création

Plus en détail

ADÉQUATION ALGORITHME-ARCHITECTURE APPLIQUÉE AUX CIRCUITS RECONFIGURABLES

ADÉQUATION ALGORITHME-ARCHITECTURE APPLIQUÉE AUX CIRCUITS RECONFIGURABLES ADÉQUATION ALGORITHME-ARCHITECTURE APPLIQUÉE AUX CIRCUITS RECONFIGURABLES AILTON F. DIAS, MOHAMED AKIL, CHRISTOPHE LAVARENNE, YVES SOREL CNEN/CDTN Divisão de Computação e Informação, CP 941-012-970 Belo

Plus en détail

Gouvernance IT : par où commencer? Hubert Lalanne DE, Chief Architect for Industries IBM Software France

Gouvernance IT : par où commencer? Hubert Lalanne DE, Chief Architect for Industries IBM Software France Conférence IDC Gouvernance IT - Paris 6 Avril 2011 Gouvernance IT : par où commencer? Hubert Lalanne DE, Chief Architect for Industries IBM Software France 2011 IBM Corporation Quels sont les ingrédients

Plus en détail

Openmoko, free your phone!

Openmoko, free your phone! Bearstech 3 juillet 2008 / RMLL / Mont-de-Marsan Openmoko C est quoi? Plusieurs choses Un nom de projet Comme Debian, Fedora, PostgreSQL,... Une société Openmoko Inc. filiale de FIC Une suite logicielle

Plus en détail

» Données techniques »TRANSFERT THERMIQUE. Compa II 104/8 Impression. Vitesse max. d'imression. Largeur d'impression. Largeur de passage

» Données techniques »TRANSFERT THERMIQUE. Compa II 104/8 Impression. Vitesse max. d'imression. Largeur d'impression. Largeur de passage » Données techniques»transfert THERMIQUE Compa II 104/8 203 dpi Vitesse max. d'imression 200 mm/s 104 mm 116 mm 12 mm 6000 mm (autres sur demande) 5 mm 25 mm Flat Type pour transfert thermique Compa II

Plus en détail

Informatique Industrielle Année 2004-2005. Architecture des ordinateurs Note de cours T.Dumartin

Informatique Industrielle Année 2004-2005. Architecture des ordinateurs Note de cours T.Dumartin Informatique Industrielle Année 2004-2005 Architecture des ordinateurs Note de cours T.Dumartin 1 GENERALITES 5 1.1 INTRODUCTION 5 1.2 QU ENTEND-T-ON PAR ARCHITECTURE? 5 1.3 QU EST CE QU UN MICROPROCESSEUR?

Plus en détail

Efficacité énergétique pour les particuliers : une solution pour le monitoring énergétique

Efficacité énergétique pour les particuliers : une solution pour le monitoring énergétique Efficacité énergétique pour les particuliers : une solution pour le monitoring énergétique Orange Labs Jérôme Descos, Recherche & Développement 13 mars 2012, présentation au Colloque Energ&TIC² travaux

Plus en détail

Linux embarqué: une alternative à Windows CE?

Linux embarqué: une alternative à Windows CE? embarqué: une alternative à Windows CE? : une alternative à Windows CE Présentation Mangrove Systems Distribution embarqué Perspective WinCe / Questions Mangrove systems Créé en 2001 Soutien Soutien Ministère

Plus en détail

Extrait des Exploitations Pédagogiques

Extrait des Exploitations Pédagogiques Pédagogiques Module : Compétitivité et créativité CI Première : Compétitivité et créativité CI institutionnel : Développement durable et compétitivité des produits Support : Robot - O : Caractériser les

Plus en détail

Conception Systèmes numériques VHDL et synthèse automatique des circuits

Conception Systèmes numériques VHDL et synthèse automatique des circuits Année 2011-2012 Conception Systèmes numériques VHDL et synthèse automatique des circuits Travaux pratiques WIDEMACV1 LAAS-CNRS 2011 Présentation du simulateur VHDL sous environnement Cadence Présentation

Plus en détail

INGÉNIEUR - DÉVELOPPEUR SENIOR Logiciels Audio - DSP - Embedded C - C/C++ 35 ans - 10 ans d'expérience

INGÉNIEUR - DÉVELOPPEUR SENIOR Logiciels Audio - DSP - Embedded C - C/C++ 35 ans - 10 ans d'expérience F0016 INGÉNIEUR - DÉVELOPPEUR SENIOR Logiciels Audio - DSP - Embedded C - C/C++ 35 ans - 10 ans d'expérience Expert ises mét iers : Langues : Industrie, M2M, Telecoms Roumain (Langue maternelle), Anglais

Plus en détail

Ed 03/95 PAQ 1530 NON URGENTE (INFO PRODUIT) TEMPORAIRE DEFINITIVE

Ed 03/95 PAQ 1530 NON URGENTE (INFO PRODUIT) TEMPORAIRE DEFINITIVE d 03/95 PAQ 1530 COMMUNICAION CHNIQU N C0351 Date : 18-09-2002 OmniPCX 4400 Nb de pages : 16 URGN (FASH PRODUI) NON URGN (INFO PRODUI) MPORAIR DFINIIV OBJ : CAR GPA2 Veuillez trouver ci-joint la documentation

Plus en détail

2015 // 2016. des formations. programme. Retrouvez toutes ces informations sur enseirb-matmeca.bordeaux-inp.fr

2015 // 2016. des formations. programme. Retrouvez toutes ces informations sur enseirb-matmeca.bordeaux-inp.fr programme des formations Filière Électronique...2 Filière Informatique...3 Filière Mathématique et Mécanique...4 Filière Télécommunications...5 Filière Réseaux et Systèmes d Information...6 Filière Systèmes

Plus en détail

Implémentation FPGA d'algorithmes de surveillance de trafic. Projet 11 : Benoît FONTAINE Tristan GROLÉAT Franziska HUBERT

Implémentation FPGA d'algorithmes de surveillance de trafic. Projet 11 : Benoît FONTAINE Tristan GROLÉAT Franziska HUBERT Implémentation FPGA d'algorithmes de surveillance de trafic Projet 11 : Benoît FONTAINE Tristan GROLÉAT Franziska HUBERT Projet S5, SLR 4 décembre 2009 Plan Contexte Étude bibliographique NetFPGA Les Outils

Plus en détail

SIN-FPGA DESCRIPTION PAR SCHEMA

SIN-FPGA DESCRIPTION PAR SCHEMA SIN-FPGA DESCRIPTION PAR SCHEMA Documents ressources: http://www.altera.com/literature/lit-index.html Introduction to Quartus II : intro_to_quartus2.pdf Documentation QUARTUS II : quartusii_handbook.pdf

Plus en détail

Présentation du système informatique utilisé et éléments d architecture des ordinateurs

Présentation du système informatique utilisé et éléments d architecture des ordinateurs TP informatique PTSI-PT Semestre 1 Lycée Gustave EIFFEL, BORDEAUX Présentation du système informatique utilisé et éléments d architecture des ordinateurs GL, SV, VB Objectif(s) Se familiariser aux principaux

Plus en détail

Partie 7 : Gestion de la mémoire

Partie 7 : Gestion de la mémoire INF3600+INF2610 Automne 2006 Partie 7 : Gestion de la mémoire Exercice 1 : Considérez un système disposant de 16 MO de mémoire physique réservée aux processus utilisateur. La mémoire est composée de cases

Plus en détail

Implémentation Matérielle des Services d un RTOS sur Circuit Reconfigurable

Implémentation Matérielle des Services d un RTOS sur Circuit Reconfigurable Implémentation Matérielle des Services d un RTOS sur Circuit Reconfigurable Pierre Olivier*, Jalil Boukhobza*, Jean-Philippe Babau +, Damien Picard +, Stéphane Rubini + *Lab-STICC, + LISyC, Université

Plus en détail

Concevoir son microprocesseur

Concevoir son microprocesseur Concevoir son microprocesseur structure des systèmes logiques Jean-Christophe Buisson Collection Technosup Ellipses Avant-propos Ce livre s adresse aux étudiants en informatique de licence et maîtrise,

Plus en détail

Fiche technique CPU 314SC/DPM (314-6CG13)

Fiche technique CPU 314SC/DPM (314-6CG13) Fiche technique CPU 314SC/DPM (3146CG13) Données techniques N de commande 3146CG13 Type CPU 314SC/DPM Information générale Note Caractéristiques SPEEDBus Technologie SPEED7 24 x DI, 16 x DO, 8 x DIO, 4

Plus en détail

Architecture des calculateurs

Architecture des calculateurs Formation en Calcul Scientifique - LEM2I Architecture des calculateurs Violaine Louvet 1 1 Institut Camille jordan - CNRS 12-13/09/2011 Introduction Décoder la relation entre l architecture et les applications

Plus en détail

Architecture et Extensions d ArcGIS Server

Architecture et Extensions d ArcGIS Server Architecture et Extensions d ArcGIS Server Plan de la présentation Présentation Générale ArcGIS Server Deconstructed Architecture et retours d expérience Execution (LoadBalancing // FailOver) Securité

Plus en détail

Aurélien Bordes. OSSIR 13 juillet 2010

Aurélien Bordes. OSSIR 13 juillet 2010 Aurélien Bordes aurelien26@free.fr v2.0 1 RDP (Remote Desktop Protocol) Solution d accès distant via un déport : de l affichage graphique du serveur vers le client des entrées du client vers le serveur

Plus en détail

11 Février 2014 Paris nidays.fr. france.ni.com

11 Février 2014 Paris nidays.fr. france.ni.com 11 Février 2014 Paris nidays.fr Construire l enregistreur de données autonome de demain Marc-Junior LARROUY, Ingénieur d Applications, National Instruments France Contenu Introduction à l enregistrement

Plus en détail

Robot WIFIBOT Lab V3. 4 roues motrices

Robot WIFIBOT Lab V3. 4 roues motrices 4 roues motrices Lab V3 Robot WIFIBOT Lab V3 Architecture modulaire et ouverte Contrôlable en RS232 ou en Wifi PC x86 embarqué avec une image Xpe ou Linux Ubuntu Le Wifibot Lab est une plate forme robotique

Plus en détail

Principes de base d'une alarme Anti intrusion

Principes de base d'une alarme Anti intrusion Principes de base d'une alarme Anti intrusion 1 Présentation Ce cours a pour but de vous apprendre les principes de base d'une alarme. Toutes les alarmes aussi sophistiquées soit elles utilisent ces principes.

Plus en détail

Création d installateurs pour Windows avec InnoSetup

Création d installateurs pour Windows avec InnoSetup Création d installateurs pour Windows avec InnoSetup 14 juin 2012 F. Langrognet 5 e rencontre DevelopR6 Laboratoire de Mathématiques de Besançon F. Langrognet () INNOSETUP Juin 2012 1 / 50 INNOSETUP 1

Plus en détail

Pré-requis techniques

Pré-requis techniques Sommaire 1. PRÉAMBULE... 3 2. PRÉ-REQUIS TÉLÉCOM... 4 Généralités... 4 Accès Télécom supporté... 4 Accès Internet... 5 Accès VPN... 5 Dimensionnement de vos accès... 6 3. PRÉ-REQUIS POUR LES POSTES DE

Plus en détail

Solutions en auto-consommation

Solutions en auto-consommation Solutions en auto-consommation Solar-Log et auto-consommation Les solutions Solar-Log pour les projets en auto-consommation Avec des avantages multiples, l autoconsommation prend peu à peu une place importante

Plus en détail

Contributions à l expérimentation sur les systèmes distribués de grande taille

Contributions à l expérimentation sur les systèmes distribués de grande taille Contributions à l expérimentation sur les systèmes distribués de grande taille Lucas Nussbaum Soutenance de thèse 4 décembre 2008 Lucas Nussbaum Expérimentation sur les systèmes distribués 1 / 49 Contexte

Plus en détail

De source sûre. Les inverseurs de sources statiques numériques ABB dopent la fiabilité des datacenters

De source sûre. Les inverseurs de sources statiques numériques ABB dopent la fiabilité des datacenters Les inverseurs de s numériques ABB dopent la fiabilité des datacenters CHRISTOPHER BELCASTRO, HANS PFITZER Les informa- tions qui transitent par les datacenters sont bien souvent essentielles à la bonne

Plus en détail

Conférence : Intégration ToIP au sein d une Entreprise. Module N 2 : La TOIP au sein d une Entreprise

Conférence : Intégration ToIP au sein d une Entreprise. Module N 2 : La TOIP au sein d une Entreprise Conférence : Intégration ToIP au sein d une Entreprise Module N 2 : La TOIP au sein d une Entreprise Mardi 21 Octobre 2014 IUC Douala Cameroun Par : Yannick LEUKOUE Copyright 2014 Question? www.mboantic.info

Plus en détail

CONTEC CO., LTD. Novembre 2010

CONTEC CO., LTD. Novembre 2010 La gamme CONTEC CONTEC CO., LTD. Novembre 2010 1 Agenda Introduction Data acquisition and control Data Communication Expansion chassis and accessory Distributed I/O and media converter Stainless steel

Plus en détail

ASR1 TD7 : Un microprocesseur RISC 16 bits

ASR1 TD7 : Un microprocesseur RISC 16 bits {Â Ö Ñ º ØÖ Ý,È ØÖ ºÄÓ Ù,Æ ÓÐ ºÎ ÝÖ Ø¹ ÖÚ ÐÐÓÒ} Ò ¹ÐÝÓÒº Ö ØØÔ»»Ô Ö Óº Ò ¹ÐÝÓÒº Ö» Ö Ñ º ØÖ Ý»¼ Ö½» ASR1 TD7 : Un microprocesseur RISC 16 bits 13, 20 et 27 novembre 2006 Présentation générale On choisit

Plus en détail

et dépannage de PC Configuration Sophie Lange Guide de formation avec exercices pratiques Préparation à la certification A+

et dépannage de PC Configuration Sophie Lange Guide de formation avec exercices pratiques Préparation à la certification A+ Guide de formation avec exercices pratiques Configuration et dépannage de PC Préparation à la certification A+ Sophie Lange Troisième édition : couvre Windows 2000, Windows XP et Windows Vista Les Guides

Plus en détail

BCI - TPSP - Processeurs et Architectures Numériques

BCI - TPSP - Processeurs et Architectures Numériques BCI - TPSP - Processeurs et Architectures Numériques Jean-Luc Danger Guillaume Duc Tarik Graba Philippe Matherat Yves Mathieu Lirida Naviner Alexis Polti Jean Provost c 2002-2011 groupe SEN, Télécom ParisTech

Plus en détail

Réunion GATE Équipement E3

Réunion GATE Équipement E3 Réunion GATE Équipement E3 E3 : Réalisation d'un système de détection Cherenkov autonome et modulaire Assemblage de plusieurs modules développés dans le cadre du programme ANR NECTar Julien Bolmont pour

Plus en détail

VOIP. QoS SIP TOPOLOGIE DU RÉSEAU

VOIP. QoS SIP TOPOLOGIE DU RÉSEAU VOIP QoS SIP TOPOLOGIE DU RÉSEAU La voix sur réseau IP, parfois appelée téléphonie IP ou téléphonie sur Internet, et souvent abrégée en ''VoIP'' (abrégé de l'anglais Voice over IP), est une technique qui

Plus en détail

Prototypage électronique

Prototypage électronique Prototypage électronique C'est quoi Arduino? Enseignant d'électronique en BTS des Systèmes Électroniques au lycée Cabanis de Brive-la-Gaillarde, j'ai commencé en 2010 à entendre parler d'arduino à gauche

Plus en détail

Logique binaire. Aujourd'hui, l'algèbre de Boole trouve de nombreuses applications en informatique et dans la conception des circuits électroniques.

Logique binaire. Aujourd'hui, l'algèbre de Boole trouve de nombreuses applications en informatique et dans la conception des circuits électroniques. Logique binaire I. L'algèbre de Boole L'algèbre de Boole est la partie des mathématiques, de la logique et de l'électronique qui s'intéresse aux opérations et aux fonctions sur les variables logiques.

Plus en détail

TESTING NETWORK HARDWARE

TESTING NETWORK HARDWARE Guillaume BARROT Nicolas BAYLE TESTING NETWORK HARDWARE The forward-plane way www.jaguar-network.com Agenda Tester : inconvénients / avantages Des solutions de tests adaptées RFC 2544 / Quick Test Le forwarding

Plus en détail

Plan de cette matinée

Plan de cette matinée Plan de cette matinée Windows 2008 Les différentes versions Migration Rôles et fonctionnalités Présentation des nouveautés Windows 2008 R2 Les apports de cette nouvelle version Windows 7 Les différentes

Plus en détail

<Insert Picture Here> Exadata Storage Server et DB Machine V2

<Insert Picture Here> Exadata Storage Server et DB Machine V2 Exadata Storage Server et DB Machine V2 Croissance de la Volumétrie des Données Volumes multipliés par 3 tous les 2 ans Evolution des volumes de données 1000 Terabytes (Données) 800

Plus en détail

Master Informatique Aix-Marseille Université

Master Informatique Aix-Marseille Université Aix-Marseille Université http://masterinfo.univ-mrs.fr/ Département Informatique et Interactions UFR Sciences Laboratoire d Informatique Fondamentale Laboratoire des Sciences de l Information et des Systèmes

Plus en détail

PLM 2.0 : Mise à niveau et introduction à l'offre version 6 de Dassault systèmes

PLM 2.0 : Mise à niveau et introduction à l'offre version 6 de Dassault systèmes IBM Software Group 2008 IBM Corporation and Dassault Systèmes PLM 2.0 : Mise à niveau et introduction à l'offre version 6 de Dassault systèmes 2009 2007 IBM Corporation 2 PLM : de l historique 2D-3D à

Plus en détail

École Nationale d Ingénieurs de Sfax. Cycle de Formation doctorale dans la discipline Ingénierie des Systèmes Informatiques

École Nationale d Ingénieurs de Sfax. Cycle de Formation doctorale dans la discipline Ingénierie des Systèmes Informatiques École Nationale d Ingénieurs de Sfax Cycle de Formation doctorale dans la discipline Ingénierie des Systèmes Informatiques & Université de Cergy-Pontoise Ecole Doctorale Sciences et Ingénierie Spécialité:

Plus en détail

Building Technologies

Building Technologies Caractéristiques principales Gamme de 6 centrales De 8 à 512 points de détection (dont 120 points radio) De 4 à 60 secteurs de surveillance De 4 à 64 portes De 4 à 64 calendriers Connectivité étendue (RS232,

Plus en détail

Vérifier la qualité de vos applications logicielle de manière continue

Vérifier la qualité de vos applications logicielle de manière continue IBM Software Group Vérifier la qualité de vos applications logicielle de manière continue Arnaud Bouzy Kamel Moulaoui 2004 IBM Corporation Agenda Analyse de code Test Fonctionnel Test de Performance Questions

Plus en détail

Partie 1. Professeur : Haouati Abdelali. CPGE Lycée Omar Ibn Lkhattab - Meknès www.haouati.com haouaticpge@gmail.com

Partie 1. Professeur : Haouati Abdelali. CPGE Lycée Omar Ibn Lkhattab - Meknès www.haouati.com haouaticpge@gmail.com Partie 1 Professeur : Haouati Abdelali CPGE Lycée Omar Ibn Lkhattab - Meknès www.haouati.com haouaticpge@gmail.com Partie I : Généralités et algorithmique de base 1. Environnement matériel et logiciel

Plus en détail

Commutateur statique de transfert Liebert La solution incontournable pour vos systèmes d alimentation à haute disponibilité

Commutateur statique de transfert Liebert La solution incontournable pour vos systèmes d alimentation à haute disponibilité Commutateur statique de transfert Liebert La solution incontournable pour vos systèmes d alimentation à haute disponibilité AC Power Systems for Business-Critical Continuity Emerson Network Power est une

Plus en détail

Initiation au HPC - Généralités

Initiation au HPC - Généralités Initiation au HPC - Généralités Éric Ramat et Julien Dehos Université du Littoral Côte d Opale M2 Informatique 2 septembre 2015 Éric Ramat et Julien Dehos Initiation au HPC - Généralités 1/49 Plan du cours

Plus en détail

Présentation et installation PCE-LOG V4 1-5

Présentation et installation PCE-LOG V4 1-5 PCE-LOG V4 version borne externe type PC50 mesures U, I + 3 TS version coffret mural mesures U, U, I + 3TS PRESENTATION 1-5 1 Presentation PCE-LOG V4 est un datalogger pour la télésurveillance de la protection

Plus en détail

RETOUR D EXPÉRIENCE SUR L INTERNET DES OBJETS

RETOUR D EXPÉRIENCE SUR L INTERNET DES OBJETS *Réussir la transformation. Ensemble. RETOUR D EXPÉRIENCE SUR L INTERNET DES OBJETS Mardi 7 juillet 2015 Delivering Transformation. Together. QUEL EST LE CONTEXTE IOT POUR LES GRANDS GROUPES? L Internet

Plus en détail

Présentation et portée du cours : CCNA Exploration v4.0

Présentation et portée du cours : CCNA Exploration v4.0 Présentation et portée du cours : CCNA Exploration v4.0 Dernière mise à jour le 3 décembre 2007 Profil des participants Le cours CCNA Exploration s adresse aux participants du programme Cisco Networking

Plus en détail

Architecture Reconfigurable Hétérogène à Gestion Hiérarchique Distribuée pour la Reconfiguration et la Prise de Décision

Architecture Reconfigurable Hétérogène à Gestion Hiérarchique Distribuée pour la Reconfiguration et la Prise de Décision INSTITUT D ÉLECTRONIQUE ET DE TÉLÉCOMMUNICATIONS DE RENNES Architecture Reconfigurable Hétérogène à Gestion Hiérarchique Distribuée pour la Reconfiguration et la Prise de Décision dans les systèmes de

Plus en détail

Présentation de notre solution de formation en ligne

Présentation de notre solution de formation en ligne English for your future http://english.newhorizons.com English Language Program Synonyme de flexibilité, efficacité, choix et réussite. Présentation de notre solution de formation en ligne L approche individuelle

Plus en détail

T. BLOTIN Lycée Paul-Eluard 93206 SAINT-DENIS

T. BLOTIN Lycée Paul-Eluard 93206 SAINT-DENIS T. BLOTIN Lycée Paul-Eluard 93206 SAINT-DENIS SOMMAIRE I. Le VHDL pour qui, pourquoi, quand, comment? A. Le VHDL!...... 1 B. Pourquoi un langage de description?...... 1 C. Les limites actuelles...... 2

Plus en détail

ET 24 : Modèle de comportement d un système Boucles de programmation avec Labview.

ET 24 : Modèle de comportement d un système Boucles de programmation avec Labview. ET 24 : Modèle de comportement d un système Boucles de programmation avec Labview. Sciences et Technologies de l Industrie et du Développement Durable Formation des enseignants parcours : ET24 Modèle de

Plus en détail

Installer et configurer un réseau local Ethernet commuté. Généralités 1 Utilisation d un Switch administrable D-Link DES-3226

Installer et configurer un réseau local Ethernet commuté. Généralités 1 Utilisation d un Switch administrable D-Link DES-3226 Installer et configurer un réseau local Ethernet commuté. Généralités 1 Utilisation d un Switch administrable D-Link DES-3226 Sommaire 1. Objectifs de ce TP... 2 2. Infrastructure du réseau... 2 3. Chargement

Plus en détail

Maquette de train alimenté par biberonnage : un outil d'enseignement et de recherche pluridisciplinaire P. Barrade et A. Rufer

Maquette de train alimenté par biberonnage : un outil d'enseignement et de recherche pluridisciplinaire P. Barrade et A. Rufer J3eA, Journal sur l enseignement des sciences et technologies de l information et des systèmes, Volume 4, Hors-Série 2, 5 (2005) DOI : http://dx.doi.org/10.1051/bib-j3ea:2005705 EDP Sciences, 2005 Maquette

Plus en détail

APX et VCE, Modèle d industrialisation de l intégration et du déploiement. Olivier BERNARD, VCE

APX et VCE, Modèle d industrialisation de l intégration et du déploiement. Olivier BERNARD, VCE APX et VCE, Modèle d industrialisation de l intégration et du déploiement Olivier BERNARD, VCE Généralisation des réseaux, suprématie d IP Consumérisation des terminaux informatiques Evolution vers une

Plus en détail