Centre de Formation **HBM Corporation** Formation sur les µc PIC 16Fxxx chez Microchip d Arizona

Dimension: px
Commencer à balayer dès la page:

Download "Centre de Formation **HBM Corporation** Formation sur les µc PIC 16Fxxx chez Microchip d Arizona"

Transcription

1 Centre de Formation **HBM Corporation** Formation sur les µc PIC 16Fxxx chez Microchip d Arizona Support de formation première partie (ver 1.01) Pour les spécialités : Electronique & Micro-Informatique. Informatique industrielle. Par : M er ATOUI Hamza Magistère en TELECOM & Traitement numérique, Ingénieur en électronique option contrôle industriel. HBMVIPH@hotmail.com

2 Sommaire de la formation - Un peu d historique. - Définition d un µp. - Le séquenceur de µp. - Le Micro-ordinateur. - Les µc PIC chez Microchip. - Architecture de la CPU «MID-RANGE». - Les modes d adressage. - Jeu d instructions et leur codage. - Organisation de la zone CODE, STACK & gestion des interruptions. - La structure d un fichier source en assembleur chez Microchip. - Le PIC 16F84A. - Synoptique de l architecture PIC 16F84A. - Brochage de circuit. - Organisation de la zone DATA. - Registres spéciaux. - Périphériques de PIC 16F84A. o Les ports E/S (PORTA et PORTB). o L EEPROM de 64 bytes. o Le TIMER (TMR0) o Le WDT et le mode mise en veille.

3 Un peu d historique : L apparition du µp est due à l évolution de l industrie électronique. Elle répond au besoin d un circuit intégré LSI (Large Scale Integration) ou VLSI (Very LSI). En effet, la technologie permet d intégrer des centaines de milliers de transistors sur une puce de silicium de quelques mm2. Le concept du µp a été créé par la société INTEL. Cette société créée en 1968, était spécialisée dans la conception et la fabrication des puces mémoires. A la demande de deux ses clients, fabricants des calculatrices et de terminaux. INTEL étudia une unité de calcul implémentée sur une seule puce. Ceci donna naissance en 1971 au premier µp le 4004 qui était une unité de calcul de 4 bits fonctionnant à 108 KHz. Il résultait de l intégration d environ de 2300 transistors. Définition d un µp : Le µp est un ou plusieurs circuits LSI/VLSI qui réalisent des fonctions de traitement. Dont le noyau de µp est le séquenceur. Le séquenceur de µp : C est en ensemble de circuits logique séquentiel pour décoder une instruction puis générer les différents signaux nécessaires pour exécuter cette dernière. Dans ce qui suit, on va réaliser un séquenceur qui exécute l opération de transfert entre 2 registres de N bits. Synoptique des registres : RD\ WR\ Reg A N bits CS\ RD\ WR\ Reg B CS\ Description des signaux : RD\ : signal de lecture. WR\ : signal d écriture. CS\ : signal de sélection registre. On appel ses signaux, les signaux du contrôle, ainsi que un bus commun entre le REGA et REGB de N bits pour le transfert de données. 1

4 La question qui se pose est comment réaliser une logique de contrôle pour transférer le contenue du REGA vers le REGB? Pour réaliser cette logique, il faut d abord suivre l évolution des signaux du contrôle de chaque registre pour le transfert. On donne la table suivante : Temps Reg A Reg B Commentaires CS\ RD\ WR\ CS\ RD\ WR\ T Etat de repos T Activer le reg A T Lecture de reg A T Activer le reg B T Ecriture dans le reg B D après cette table, l opération de transfert se fait sur 4 étapes. Donc la réalisation de ce séquenceur nécessite un compteur. A chaque incrémentation, on exécute une ligne de la table des signaux du contrôle. Vcc Le circuit de séquenceur : R Compteur D E C O D E U R Horloge CS\ RD\ WR\ CS\ RD\ WR\ Point de Connexion Entre la Ligne et La colonne Vers les signaux de contrôle de Reg A Vers les signaux de contrôle de Reg B A chaque incrémentation, le décodeur sélectionne une ligne de contrôle. On appel l ensemble de lignes de contrôle le micro code de l instruction de transfert de REGA vers REGB. 2

5 On conclure que le µp est un élément très important dans le développement Soft/Hard. Dont la domaine d application est illimité. Question : est ce que le µp tout seule résoudre un problème? Réponse : tout simplement, c est impossible par ce que le µp est un composent qui sert à réaliser un micro-ordinateur. Le micro-ordinateur : C est une carte électronique composée de µp ou plusieurs, de circuits mémoires, et des circuits E/S. - le µp ou la CPU pour exécuter un traitement. - Les circuits mémoires pour le stockage de données (Code, Data). - Les circuits E/S pour réaliser des interfaces de manipulation du monde externe (HDD, Clavier, Ecran, Souris, ). Regardez l architecture en général d un micro-ordinateur de type VON NEUMAN dans la figure suivante. Horloge DATA CODE µp (CPU) Bus système E/S On général les micro-ordinateur sont fabriqués pour résoudre des problèmes de grandes complexités, mais pour des problèmes de moyennes et faibles complexités comment faire? Est ce que c est pratique d utiliser un micro-ordinateur pour les résoudre? Eh bien, ou est la solution? La solution est de réaliser des micro-ordinateurs de taille réduite dans un seul boîtier, qu on appel mono-chip, constitué de CPU, de mémoires, et des E/S du faible capacité. Ce mono-chip est appelé dans l industrie par le nom «microcontrôleur». Les microcontrôleurs sont des micro-ordinateurs en version compactée très utilisée dans l industrie, ces circuits sont fabriqués par plusieurs sociétés comme INTEL, MOTOROLA, ST, ATMEL, PHELIPS, PARALAXE et Microchip D après cette introduction sur les µp et les micro-ordinateurs (µc), on va étudier seulement les µc chez Microchip d Arizona. 3

6 MICROCHIP est un fabricant de semi-conducteurs fondé en 1989 à partir d une division de GENEREL INSTRUMENTS. Microchip produit les microcontrôleurs PIC, des composants radiofréquences, de gestion des batteries, des interfaces analogiques etc. Les µc PIC chez Microchip : Les µc PIC sont basés sur une architecture de type HARVARD, il y a une séparation entre les bus de la partie mémoire CODE et DATA comme indique cette figure : DATA CPU CODE Et une CPU de type RISC (Reduce Instruction Set Computer). Tous les µc PIC de la série 12C 18C ce base sur 1 de ces 3 CPUs de 8bits «BASE-LINE» «MID-RANGE» «HIGH-END». Une simple question qui se pose est : pourquoi cette évolution? Tous simplement, pour des besoins demandés par le marché industriel «Traitement en temps réel, l intégration d un RTOS préemptif (Preempted Real Time Operating System) Dans cette formation, on va étudier la CPU «MID-RANGE» de la série 16Fxxx. 4

7 Architecture de la CPU «MID-RANGE» : DATA CPU STACK 128 bytes 128 bytes 9 M U X RP0 RP1 IRP FSR PCH PCLATH PC 8 PCL 13 8 level Stack 2k x 14bits bytes 8 8 INTCON PIE1 PIE2 PIR1, 2 Registres des interruptions 2k x 14bits 2k x 14bits 8 U.A.L 3 3 Unité de décodage et De contrôle 128 bytes W REG STATUS Registre d instruction 14 2k x 14bits CODE 5

8 On remarque facilement l architecture de type HARVARD sur la CPU «MID-RANGE». La partie CODE a un espace de 8k x 14bits segmentée en 4 pages de 2k chacune ; La partie DATA a un espace de 512 x 8bits segmenté en 4 banques de 128 chacune ; et une PILE «STACK» de 8 niveaux contrôler par la CPU. Un ensemble de registres pour contrôler les interruptions (INTCON, PIE1 & 2, PIR1 & 2 ), un accumulateur sur 8bits «W reg», un registre d état «STATUS»,un registre pointeur «FSR File Select Register» sur 8bits avec un ensemble de 3 bits «RP0, RP1, IRP» pour l accès DATA, une U.A.L pour réaliser d opérations logiques & arithmétiques sur 8bits, un compteur programme «PC» sur 13 bits pour balayer un espace de 8k, un buffer PCLATH utilisé pour le mode paginé code (on explique plus tard), et un registre d instruction sur 14bits pour la réception des instructions à partir de la zone CODE. Les modes d adressage : Le MID-RANGE utilise 3 modes d adressage sont : - Adressage immédiat. - Adressage direct. - Adressage indirect. Dans l adressage immédiat le MID-RANGE fait une opération de W reg avec une constante sur 8bits ou le transfert d une constante sur 11bits vers le PC. Mais dans le cas d adressage direct & indirect le MID-RANGE fait une opération entre un FILE «case mémoire de l espace DATA» et le W reg. On distingue à partir de l architecture MID-RANGE que ce µp utilise un bus d adresse de 7bits à partir de registre d instruction avec les 2bits RP0, RP1 de STATUS pour réaliser un bus l adresse de 9bits d un FILE dans la zone DATA (adressage direct), et un autre chemin d adressage par le FSR et le bit IRP de STATUS pour réaliser le même bus de 9bits (adressage indirect). D après le jeu d instruction de MID-RANGE, ce µp utilise le mode d adressage direct, Eh bien, comment sélectionnez l autre mode indirect? Microchip utilise une simple astuce pour la sélection du mode d adressage direct ou indirect dans la zone DATA, dont l algorithme est le suivant : Si les 7bits d adresse dans l instruction 0 alors Le bus d adresse FILE de 9bits = RP1 RP0 7bits d adresse dans l inst Sinon (les 7bits d adresse dans l instruction = 0) Le bus d adresse FILE de 9bits = IRP Fin-Si. 8bits de registre FSR 6

9 En résumé : le schéma général pour l adressage direct et indirect dans la zone DATA 0 1 7bits d adresse dans l instruction Bank0 Bank1 Bank2 Bank3 FSR RP1 RP0 2 1 IRP Exemple sur les modes d adressage : Adressage Immédiat : chargement de W reg par la constante 0x59. Code : MOVLW 0x59 ; W 0x59 Adressage Direct : Exemple 1 : Transfert de contenue de W reg vers le FILE d adresse (0x30). Code : MOVWF 0x30 ; (0x30) W reg 0x30 W reg Exemple 2 : Transfert de contenue de FILE d adresse (0x40) vers le W reg. Code : MOVF 0x40,W ; W (0x40) 0x40 W reg 7

10 Adressage Indirect : charger le FILE d adresse (0x50) par la valeur 0x55 dont le mode d adressage est indirect. Cet exercice se fait en 2 étapes : 1- On met l adresse de FILE dans le registre FSR (pointeur mémoire). 2- On utilise l adresse 0x00 pour l opération de transfert ou le registre virtuel «INDF» indiqué par Microchip par ce que INDF = 0x00. Code : MOVLW 0x50 MOVWF FSR MOVLW 0x55 MOVWF INDF Etape 1 Etape 2 FSR 0x50 W reg 0x55 N.B: vous remarquez que je n ai pas utilisé les bits RP1, RP0 et IRP pour l adressage direct et indirect, tous court, on n a pas étudié jusqu à présent le jeu d instructions et la structure de registre STATUS. 8

11 Jeu d instructions & leur codage : Le fonctionnement de MID-RANGE est de type RISC à 35 instructions ; elle est constituée de 3 entités : - l U.A.L et le registre STATUS. - Le W reg «Accumulateur». - La RAM «FILE registers». Schéma fonctionnel de l unité d exécution de MID-RANGE : La majorité des opérations réalisées par le MID-RANGE passe par le W reg et l U.A.L affect seulement 3 bits de STATUS sont : (C, DC, Z). La structure de STATUS : ce registre contient les bits d état de l U.A.L, les bits de sélection des banques DATA, et les bits d état de µc. IRP : bit de sélection de banque mémoire dans le mode d adressage indirect. - 1 : banque 2, : banque 0, 1. RP1 :RP0 : bits de sélection de banque mémoire dans le mode d adressage direct : banque : banque : banque : banque 0. TO\ : Time-out bit. PD\ : Power-down bit. Z: le flag zéro de l U.A.L - 1 : le résultat de l opération est nul. - 0 : le résultat différent de zéro. DC : le flag Digit-carry ou demi-retenue. Après une opération d addition ou soustraction ce flag positionne s il y a un débordement sur les 4 bits du faible. C : le flag carry ou retenue. Après une opération d addition ou soustraction ce flag positionne s il y a un débordement sur 8bits. 9

12 Chaque instruction de MID-RANGE est codée sur 14bits, ces instructions regroupées en 3 groupes selon le codage : - Orienté mémoire (FILE). - Orienté bit sur FILE. - Orienté constante (valeur immédiate). Mais selon la fonction, on a 5 groupes : - Transfert : MOVLW, MOVWF, MOVF. - Arithmétique : ADDLW, ADDWF, SUBLW, SUBWF, DECF, INCF, DECFSZ, INCFSZ, COMF, CLRW, CLRF. - Logique : ANDLW, ANDWF, IORLW, IORWF, XORLW, XORWF, RLF, RRF, SWAPF. - Orienté bit : BCF, BSF, BTFSC, BTFSS. - Contrôle : GOTO, CALL, RETFIE, RETLW, RETURN, CLRWDT, NOP, SLEEP. 10

13 Instructions de Transfert : Groupe : MOVLW, MOVWF, MOVF. L instruction MOVLW : Charge une constante de 8bits dans le registre W reg. Syntaxe : MOVLW k 0 k 255. STATUS : rien. L instruction MOVWF : Charge le registre W dans un FILE. Syntaxe : MOWWF FILE FILE est une adresse sur 7 bits (128 emplacements possible banque-). STATUS : rien. L instruction MOVF : Copier le FILE dans une destination. Syntaxe : MOVF FILE, D FILE est une adresse sur 7 bits (128 emplacements possible banque-). D est un bit indique la destination (D = 0 la destination est le W reg, D = 1 la destination est le FILE lui même). STATUS : Z. Instructions Arithmétiques : Groupe : ADDLW, ADDWF, SUBLW, SUBWF, DECF, INCF, DECFSZ, INCFSZ, COMF, CLRW, CLRF. L instruction ADDLW : Additionner le registre W et une valeur immédiate, la somme est stockée en W reg. Syntaxe : ADDLW k 0 k 255. k + W W. STATUS : C, DC, Z. L instruction ADDWF : Additionner le registre W reg et un FILE, la somme est stockée dans la destination (le W reg ou le FILE). Syntaxe : ADDWF FILE, D FILE + W Destination. FILE est une adresse sur 7 bits (128 emplacements possible banque-). D est un bit indique la destination (D = 0 la destination est le W reg, D = 1 la destination est le FILE). STATUS : C, DC, Z. 11

14 L instruction SUBLW : Soustraction entre une valeur immédiate et le registre W reg. Syntaxe : SUBLW k 0 k 255. k - W W. STATUS : C, DC, Z. L instruction SUBWF : Soustraire le contenu du registre W reg du contenu du FILE, résultat dans la destination (le W reg ou le FILE). Syntaxe : SUBWF FILE, D FILE - W Destination. FILE est une adresse sur 7 bits (128 emplacements possible banque-). D est un bit indique la destination (D = 0 la destination est le W reg, D = 1 la destination est le FILE). STATUS : C, DC, Z. L instruction DECF : Décrémente un FILE et range le résultat dans la destination (le W reg ou le FILE). Syntaxe : DECF FILE, D FILE -1 Destination. FILE est une adresse sur 7 bits (128 emplacements possible banque-). D est un bit indique la destination (D = 0 la destination est le W reg, D = 1 la destination est le FILE). STATUS : Z. L instruction INCF : Incrémente un FILE et range le résultat dans la destination (le W reg ou le FILE). Syntaxe : INCF FILE, D FILE +1 Destination. FILE est une adresse sur 7 bits (128 emplacements possible banque-). D est un bit indique la destination (D = 0 la destination est le W reg, D = 1 la destination est le FILE). STATUS : Z. L instruction DECFSZ : Décrémente un FILE et sauter l instruction suivante si la destination = 0. Syntaxe : DECFSZ FILE, D FILE -1 Destination puis sauter l instruction suivante si la destination = 0. FILE est une adresse sur 7 bits (128 emplacements possible banque-). D est un bit indique la destination (D = 0 la destination est le W reg, D = 1 la destination est le FILE). Nombre de cycle : 1 ou 2. STATUS : rien. 12

15 L instruction INCFSZ : Incrémente un FILE et sauter l instruction suivante si la destination = 0. Syntaxe : INCFSZ FILE, D FILE +1 Destination puis sauter l instruction suivante si la destination = 0. FILE est une adresse sur 7 bits (128 emplacements possible banque-). D est un bit indique la destination (D = 0 la destination est le W reg, D = 1 la destination est le FILE). Nombre de cycle : 1 ou 2. STATUS : rien. L instruction COMF : Stocke dans la destination le complément à 1 de FILE. Syntaxe : COMF FILE, D FILE\ Destination. (Inversion de tous les bits de FILE). FILE est une adresse sur 7 bits (128 emplacements possible banque-). D est un bit indique la destination (D = 0 la destination est le W reg, D = 1 la destination est le FILE). STATUS : Z. L instruction CLRW : Efface le contenu du registre W reg. Syntaxe : CLRW 0 W reg. STATUS : Z = 1. L instruction CLRF : Efface le contenu du FILE. Syntaxe : CLRF FILE 0 FILE. FILE est une adresse sur 7 bits (128 emplacements possible banque-). STATUS : Z = 1. Instructions Logiques : Groupe : ANDLW, ANDWF, IORLW, IORWF, XORLW, XORWF, RLF, RRF, SWAPF. L instruction ANDLW : Opération "ET" entre le contenu du registre W reg et une valeur immédiate, le résultat dans W reg. Syntaxe : ANDLW k 0 k 255. k ET W W. STATUS : Z. 13

16 L instruction ANDWF : Opération "ET" entre le contenu du registre W et un FILE, le résultat dans la destination. Syntaxe : ANDWF FILE, D FILE ET W Destination. FILE est une adresse sur 7 bits (128 emplacements possible banque-). D est un bit indique la destination (D = 0 la destination est le W reg, D = 1 la destination est le FILE). STATUS : Z. L instruction IORLW : Opération logique "OU" entre le registre W reg et une valeur immédiate, le résultat dans le W reg. Syntaxe : IORLW k 0 k 255. k OU W W. STATUS : Z. L instruction IORWF : Opération "OU" entre le contenu du registre W et un FILE, le résultat dans la destination. Syntaxe : IORWF FILE, D FILE OU W Destination. FILE est une adresse sur 7 bits (128 emplacements possible banque-). D est un bit indique la destination (D = 0 la destination est le W reg, D = 1 la destination est le FILE). STATUS : Z. L instruction XORLW : Opération logique XOR (ou exclusif) entre le contenu de W reg et une valeur immédiate, le résultat dans le W reg. Syntaxe : XORLW k 0 k 255. k XOR W W. STATUS : Z. L instruction XORWF : Opération "XOR" entre le contenu du registre W et un FILE, le résultat dans la destination. Syntaxe : XORWF FILE, D FILE XOR W Destination. FILE est une adresse sur 7 bits (128 emplacements possible banque-). D est un bit indique la destination (D = 0 la destination est le W reg, D = 1 la destination est le FILE). STATUS : Z. 14

17 L instruction RLF : Rotation à gauche d un FILE au travers du bit carry, le résultat va dans la destination. Syntaxe : RLF FILE, D FILE est une adresse sur 7 bits (128 emplacements possible banque-). D est un bit indique la destination (D = 0 la destination est le W reg, D = 1 la destination est le FILE). STATUS : C Bit7 du FILE. C 7 0 L instruction RRF : Rotation à droite d un FILE au travers du bit carry, le résultat va dans la destination. Syntaxe : RRF FILE, D FILE est une adresse sur 7 bits (128 emplacements possible banque-). D est un bit indique la destination (D = 0 la destination est le W reg, D = 1 la destination est le FILE). STATUS : C Bit0 du FILE. C 7 0 L instruction SWAPF : Échange de quartet du poids faible et le quartet du poids fort d un FILE, le résultat dans la destination. Syntaxe : SWAPF FILE, D FILE est une adresse sur 7 bits (128 emplacements possible banque-). D est un bit indique la destination (D = 0 la destination est le W reg, D = 1 la destination est le FILE). STATUS : rien. 15

18 Les instruction Orienté bit : Groupe : BCF, BSF, BTFSC, BTFSS. L instruction BCF : Efface le bit spécifié d un FILE. Syntaxe : BCF FILE, Bit FILE est une adresse sur 7 bits (128 emplacements possible banque-). 0 Bit 7. FILE {bit} 0. STATUS : rien. L instruction BSF : Positionne le bit spécifié d un FILE à 1 Syntaxe : BSF FILE, Bit FILE est une adresse sur 7 bits (128 emplacements possible banque-). 0 Bit 7. FILE {bit} 1. STATUS : rien. L instruction BTFSC : Vérifier l'état du bit spécifié d un FILE et sauter l'instruction suivante si le bit = 0. Syntaxe : BTFSC FILE, Bit FILE est une adresse sur 7 bits (128 emplacements possible banque-). 0 Bit 7. Sauter si (FILE {Bit}=0) l instruction suivante. Nombre de cycle : 1 ou 2. STATUS : rien. L instruction BTFSS : Vérifier l'état du bit spécifié d un FILE et sauter l'instruction suivante si le bit = 1. Syntaxe : BTFSS FILE, Bit FILE est une adresse sur 7 bits (128 emplacements possible banque-). 0 Bit 7. Sauter si (FILE {Bit}=1) l instruction suivante. Nombre de cycle : 1 ou 2. STATUS : rien. 16

19 Les instructions de contrôle : Groupe 1: GOTO, CALL, RETURN, RETLW, RETFIE. Avant d étudier ce groupe, il faut d abord parler sur le registre compteur ordinal «PC». Ce registre de longueur 13 bits (balayage de 8k emplacements), et d après l architecture de «MID-RANGE» ce dernier divisé en 2 registres (PCL et PCH) avec le PCL est adressable par le bus interne de la zone DATA (vous pouvez manipuler comme un FILE), mais le PCH est adressable à partir du buffer PCLATH seulement les 5bits du poids faible par ce que le PCH est un registre de 5bits. Dans la manipulation de PCL comme destination le «MID-RANGE» réalise l opération suivante : - Transfert du résultat de l U.A.L vers le PCL et au même temps, charger le PCH par les 5bits du poids faible de PCLATH dans les bits 8 12 du PC. - N oublie pas que n importe quelle modification dans le PC s implique un changement de chemin d exécution du programme en cours. L instruction GOTO : Branchement inconditionnel à l adresse présentée par l instruction. Syntaxe : GOTO ADR ADR est une valeur immédiate sur 11bits. Le PC sera modifie par la façon suivante : Vous remarquez que ADR est de 11bits un espace de 2k (une page du code), mais pour faire un saut vers une autre page comment faire? D après le schéma de la figure au dessus, on distingue le mécanisme réel de l exécution d instruction GOTO par : le chargement des bits 17

20 0 10 du PC par l adresse indiquée par l instruction, et le reste (bits 11 12) par les bits 3 4 de PCLATH. Eh bien, avant de faire un saut vers une adresse, il faut d abord sélectionner la page du saut par la modification des bit 3 4 de PCLATH (00 : page0, 01 : page1, 10 : page2, 11 :page3). Nombre de cycle : 2. STATUS : rien. L instruction CALL : appel d un sous-programme (soit une procédure ou une fonction). Syntaxe : CALL ADR ADR est une valeur immédiate sur 11bits. La modification de PC est identique comme l instruction GOTO. Mais, dans cette instruction le MID-RANGE exécute les opérations suivantes : - sauvegarde l adresse de retour (PC+1) dans le TOS (Top Of Stack) de la pile système de 8 niveaux et le pointeur de la pile incrémente de 1. - Puis sauter vers l adresse indiquée par l instruction. Nombre de cycle : 2. STATUS : rien. Main Program PC CALL SOMME PC+1 TOS PC+1 L adresse de retour SOMME RETURN Les instructions de retour sous programme RETURN, RETLW: ces instructions sont utilisées pour compléter un sous-programme (ajouter à la fin d un sous-programme) comme indiquée la figure au dessus. Le mécanisme d exécution de l instruction RETURN est le retour vers le programme principal qu on a quitté précédemment par l instruction CALL est le chargement du PC par le TOS (Top Of Stack) et décrémente le pointeur de la pile par 1. Mais pour RETLW, le MID- RANGE fait le même travail que RETURN plus renvoyer une valeur immédiate de 8bits présentée par l instruction dans le W reg. Syntaxe : RETURN RETLW k ; 0 k 255. Nombre de cycle : 2. STATUS : rien. 18

21 Main Program PC CALL SOMME PC+1 TOS PC+1 L adresse de retour PC TOS SOMME RETURN L instruction RETFIE : cette instruction est utilisée pour compléter le ISR (Interrupt Service Routine) (ajouter à la fin de l ISR). Le travail a réalisé par l instruction RETFIE est le retour vers le programme principal qu on a quitté précédemment par : - Le chargement du PC par le TOS (Top Of Stack). - Décrémente le pointeur de la pile par 1. - Mettre le GIE 1 (Autorisation de la réception d une nouvelle interruption par ce que le branchement vers le ISR met le GIE 0 pour ne pas gêner le traitement de l interruption en cours). TOS PC+1 Main Program GIE 0 Interruption 2 1 PC SUBLW 0x50 PC+1 3 PC TOS GIE 1 ISR (vecteur 4) RETFIE Nombre de cycle : 2. STATUS : rien. 19

22 Groupe 2 : NOP, CLRWDT, SLEEP. L instruction NOP : aucune opération. Syntaxe : NOP STATUS : rien. L instruction CLRWDT : Réinitialise le temporisateur du chien de garde. Syntaxe : CLRWDT STATUS : TO\, PD\. Après l exécution de cette instruction le MID-RANGE fait le travail suivant : - WDT 0. - Prescaler WDT 0. - TO\ 1. - PD\ 1. L instruction SLEEP : Mise en veille du microcontrôleur (Power Down mode). Syntaxe : SLEEP STATUS : TO\, PD\. Après l exécution de cette instruction le MID-RANGE fait le travail suivant : - WDT 0. - Prescaler WDT 0. - TO\ 1. - PD\ 0. - Arrête l oscillateur du microcontrôleur. 20

23 La série des exercices N :1 But : manipulation des modes d adressage & le jeu d instructions du MID-RANGE. Exercice 1 : Ecrire un programme qui fait le travail suivant : - Mettre dans le FILE d adresse (0x30) la valeur 0x55. - Mettre dans le FILE d adresse (0x40) la valeur 0x34. - Calculer la somme de ces 2 FILES puis ranger le résultat dans le FILE d adresse (0x50). Exercice 2 : Ecrire un programme qui initialise la zone mémoire (0x30 0x40) dans la banque 2 par zéro. Exercice 3 : Ecrire un programme qui calcul la parité arithmétique de FILE (0x55), s il est pair, mettre dans le FILE (0x30) dans la banque 3 le caractère P, sinon mettre le caractère I. Exercice 4 : Ecrire un programme qui calcul la somme de la zone mémoire (0x50 0x60) et mettre le résultat dans le FILE d adresse (0x10) situé à la banque 1. Exercice 5 : Ecrire un programme qui fait la multiplication par 2 de tous les FILES de (0x25 0x35) dans la banque2. Exercice 6 : Ecrire un programme qui fait la recherche de la première occurrence de la valeur 0x00 dans la zone mémoire (0x60 0x70) et mettre l adresse dans le FILE (0x10). Exercice 7 : Ecrire un sous programme qui réalise la multiplication de 2 FILES (M1 et M2) et mettre le résultat dans les 2 FILES (H et L) par ce que la multiplication de 2 FILES de 8bits donne un résultat sur 16bits. M1*M2 (HL) Avec M1 : le FILE1, M2 : le FILE2, HL : 2 FILES de résultat, dont l algorithme est le suivant : H 0 ; L M1 ; Compteur 8 ; Tant que (Compteur >0) Début Si ( L {0} = 1 ) alors H H+M2 ; FinSi. Décalage à droite de la paire (HL) ; Compteur Compteur -1 ; FinTantQue. 21

24 Exercice 8 : Ecrire un sous programme qui réalise la division entre 2 FILES et mettre le quotient dans un FILE et le reste dans un autre FILE. A B R Q R 0 ; Q A ; Compteur 8 ; Tant que (Compteur > 0) Début Décalage à gauche de la paire (RQ) ; Si (R < B) alors Q {0} 0 ; Sinon R R-B ; Q {0} 1 ; FinSi. Compteur Compteur-1 ; FinTantQue. 22

25 Organisation de la zone CODE, STACK & gestion des interruptions : Si on rappel bien l architecture de MID-RANGE, la zone CODE est un bloc mémoire relié à la CPU par un bus d adresse de 13bits et un bus de données de 14bits (la taille d une instruction), et le zone STACK (la pile) est une mémoire de 8 niveaux, chaque niveau a une taille de 13bits, la CPU utilise cette pile pour empiler et dépiler les adresses de retour a chaque fois qu on a un appel d un sous programme ou un traitement d une interruption, cette zone est contrôler par la CPU seulement, on n a pas d instructions de manipulation de pile comme le µp 8086 chez INTEL (PUSH, POP). Microchip appel un emplacement mémoire dans la zone CODE par le nom «vecteur», un vecteur porte une instruction complète (OPCODE & OPERANDES). Cette zone est configurée par 2 vecteurs essentiels, le vecteur de RESET à l adresse 0x000 (le premier emplacement), et le vecteur d interruption à l adresse 0x004 (vecteur numéro 4). 23

26 Gestion des interruptions : le MID-RANGE gère les interruptions générées par leurs périphériques. La gestion d une interruption sous MID-RANGE se fait par : - compléter l exécution de l instruction en cours. - Vérifier si GIE = 1 (Global Interrupt Enable). - Si le cas, empiler l adresse de retour dans le TOS. - Mettre GIE 0 pour ne pas gêner le traitement de l interruption en cours. - Brancher vers le vecteur numéro 4 (0x004), dans cette adresse en trouve le début de l ISR. Le retour vers le programme interrompu précédemment se fait par l instruction RETFIE située à la fin de l ISR, cette instruction exécute le travail suivant : - mettre GIE 1 pour donne la main à une autre demande d interruption. - Dépiler l adresse de retour à partir du TOS dans le PC. Comme indique la figure suivante : Main Program PC SUBLW 0x50 PC+1 Interruption Si GIE=1 1 TOS PC+1 GIE PC TOS GIE 1 ISR (vecteur 4) RETFIE Remarque : vous remarquez que à chaque fois si on a une demande d interruption le MID- RANGE branche toujours vers le même vecteur (vecteur 4) à l adresse CODE 0x004. Comment identifiez le périphérique qui génère cette demande d interruption et exécutez le ISR correspondant? Pour répondre à cette question, on revient à l ensemble de registres d interruptions dans le MID-RANGE, leur nombre augmente et diminue selon le nombre de périphériques intégrés dans le µc, ces registres contient les bits d autorisation de la demande d interruption et les bits indicateurs d interruptions (flag). Le registre le plus important est le INTCON, la structure de ce dernier différent d un µc à l autre, et le bit le plus important dans ce registre est le bit 7 GIE (Global Interrupt Enable). 24

27 On prend l exemple de PIC16F84, ce µc gère 4 sources d interruptions sont : - débordement du TIMER0. - Changement de l état de la ligne RB0/INT. - Fin de l écriture dans l EEPROM. - Changement d état des pins RB4 RB7. Les 2 registres responsables sur les bits d autorisation et flag sont : INTCON, EECON1. Dont la synoptique de génération d une demande d interruption est la suivante : Pour exécuter le ISR correspondant à la demande d interruption d un périphérique, on fait le test des bits flag après le branchement vers le vecteur d interruption (vecteur 4). Le premier flag q on va tester, c est l interruption de la plus haute priorité, la priorité sera fixée par le programmeur lui-même, on appel cette gestion par la méthode de SOFTWARE POLLING. Organigramme de gestion SOFTWARE POLLING pour le PIC16F84 : Begin ISR T0IF=1 Yes ISR TIMER No RBIF=1 Yes ISR RB No INTF=1 Yes ISR INT No EEIF=1 Yes ISR EEPROM No End ISR 25

28 La structure d un fichier source en assembleur chez Microchip : Dans cette partie du cours, on va étudier comment créer un projet sous l environnement MPLAB IDE de Microchip (ver )? Avant de commencer, il faut connue la structure d un fichier source en assembleur. Microchip propose une structure simple pour écrire un fichier source, on commence par : - Déclaration de µc. - Inclusion des bibliothèques. - Configuration des fusibles de µc. - Définitions des constantes & les macro codes s il existe. - Déclaration des variables - Rédaction de la partie CODE. - Et finir le fichier source par l étiquette «END». Exemple sur le PIC16F84 : LIST P=16F84 ; déclaration de µc #include <P16F84.INC> ; inclusion des bibliothèques CONFIG _CP_OFF & _PWRTE_OFF & ; Config des fusibles ; Définition des constantes #define ISR 0x004 #define Reset 0x000 ; Déclaration des variables CBLOCK 0x0C Var1 :1 Var2 :1 Tab1 :5 ENDC ; partie CODE ORG Reset GOTO MAIN ORG ISR RETFIE MAIN END Maintenant, on passe vers la création d un projet sous MPLAB IDE. A partir de la version 6.xx les choses sont simplifiées, on suit les étapes suivantes pour le créer (ver 8.02): - double click sur l icône de logiciel MPLAB IDE sur votre bureau. - Aller vers le menu Project Project Wizard - elle apparaisse la fenêtre «Project Wizard» puis cliquer sur le bouton «suivant». - Sélectionner le µc «par exemple le PIC16F84» puis cliquer sur le bouton «suivant». - Sélectionner le langage de programmation «dans ce cas, on choisi Microchip MPASM Toolsuite» puis cliquer sur le bouton «suivant». 26

29 - Cliquer sur le bouton «Browse», nommer votre projet, puis cliquer sur le bouton «suivant». - Cliquer sur le bouton «suivant». - Cliquer sur le bouton «Terminer». - Aller vers le menu View Project (elle apparaisse la fenêtre de votre projet dans l interface principale de MPLAB IDE). - Aller vers le menu File New (elle apparaisse une fenêtre blanche pour écrire le fichier source en assembleur MPASM). - Après l écriture du code source, sauvegarder ce dernier sous l extension «.asm» dans le même répertoire que le projet. - Aller vers la fenêtre de votre projet puis cliquer sur menu «Source Files» par le click droite de la souris. - Il apparaisse un sous menu, cliquer sur «Add Files». - Sélectionner votre source sous l extension «.asm». - Sauvegarder le projet par le menu Project Save Project. - Compiler le projet par le menu Project Build All. - S il y a des erreurs, corriger les puis répéter l étape de compilation. 27

30 Le PIC 16F84A : C est un µc de la série 16Fxxx avec un noyau de type MID-RANGE, et des fonctionnalités E/S qui permettent de réaliser des montages avec un minimum de composants externes. D après le DATA SHEET, on distingue particulièrement : - une CPU de type MID-RANGE (35 instructions, codage sur 14bits). - Partie CODE de 1Kx14bits (1024 instructions = ½ page). - Partie DATA composée de 2 banques, seulement 68 bytes sont utilisées comme RAM. - Un ensemble de périphériques sont : o 2 ports E/S (PORTA de 5 lignes, PORTB de 8 lignes). o TIMER de 8 bits. o EEPROM de 64 bytes. o Un chien de garde (WDT). - compatible avec les PIC 16CR84, 16C84, 16F84. Synoptique de l architecture PIC 16F84A : CODE 1Kx14bits CPU MID-RANGE RAM 68 bytes P O R T A TMR0 (8bits) EEPROM 5 WDT 64 bytes 8 P O R T B Brochage de circuit : 28

31 Organisation de la zone DATA : La zone DATA du PIC 16F84A est divisée en 2 banques, composée de l espace RAM (68 bytes) et les registres spéciaux de configuration et de manipulation de périphériques et le µc lui-même. 29

32 Registres spéciaux : Le PIC 16F84A a 15 registres spéciaux situés dans la mémoire des données (Data RAM). 30

33 Périphériques de PIC 16F84A : Les ports d E/S : Le 16F84A possède 13 entrées/sorties (5 dans le port A et 8 dans le port B). Chaque E/S est configurable individuellement (en entrée ou bien en sortie). Le PORTA : est port d E/S possède 5 lignes, configurable par le registre spécial «TRISA», ce dernier responsable sur la direction des lignes (TRISA {i} = 1 la ligne en entrée, TRISA {i} = 0 la ligne en sortie), seule les 5bits du poids faible sont utilisés. Exemple de configuration : RA0 RA1 en sortie. RA2 RA4 en entrée. Dans ce cas le TRISA sera effectué par la valeur 0x1C. N.B : à partir de la table des registres spéciaux, le TRISA situé à la banque1 il faut passer vers cette banque avant d effectuer ce registre. Code : ; Passage vers la banque 1 BSF STATUS, RP0 ; Chargement de TRISA par la valeur 0x1C MOVLW 0x1C MOVWF TRISA Vous pouvez configurer les pins RA0 RA3 soit en entrée ou en sortie sans aucun problème à la particularité de la pin RA4, par ce que cette ligne est configurée en drain ouvert et en plus utilisée comme entrée horloge externe de module TMR0, comme indique la figure suivante : Schéma des pins RA0 RA3 Schéma de la pin RA4/T0CKI 31

34 La broche RA4 possède une sortie de type drain ouvert. Cela veut dire qu'elle ne peut pas fournir de courant. Par contre, elle peut en consommer : Les registres responsables de manipulation/configuration du PORTA sont : - Le registre PORTA situé dans la banque 0, utilisé pour la lecture et l écriture vers leurs pins. - Le registre TRISA situé dans la banque 1, utilisé pour la configuration de la direction des pins. Le PORTB : est port d E/S possède 8 lignes, configurable par le registre spécial «TRISB», ce dernier responsable sur la direction des lignes (TRISB {i} = 1 la ligne en entrée, TRISB {i} = 0 la ligne en sortie), avec une résistance de PULL-UP à chaque ligne du PORTB et activable par le bit7 «RBPU\» de registre «OPTION_REG», ces résistances de PULL-UP sont désactivées si les lignes en sortie. Ces dernières sont désactivées automatiquement l or d un Power-On Reset. Schéma des pins RB0 RB3 Schéma des pins RB4 RB7 32

35 Les pins RB4 RB7 génère une interruption l or d un changement d état d une de ces lignes par rapport à la dernière lecture du PORTB, le flag qui indique cette interruption est le bit0 «RBIF» de registre «INTCON». Cette interruption est générée si ces lignes configurées en entrée, avec une activation des résistances de PULL-UP pour la stabilité de l état des lignes. La pin RB0/INT est une autre source d interruption, déclanche sur front mentant ou descendant selon le bit6 «INTEDG» de registre «OPTION_REG», le flag qui indique cette interruption est le bit1 «INTF» de registre «INTCON». Toujours si la ligne est configurée en entrée. Les registres responsables sur la manipulation/configuration du PORTB sont : - Le registre PORTB situé dans la banque 0, pour la lecture et l écriture. - Le registre TRISB situé dans la banque 1, pour la configuration de la direction des lignes. - Le registre OPTION_REG situé dans la banque 1 (bit7 RBPU\ et bit6 INTEDG), pour l activation de PULL-UP et le type front (INTEDG 1 sur, INTEDG 0 sur ). - Le registre INTCON situé dans la banque 0 ou la banque 1(bit4 INTE, bit3 RBIE, bit1 INTF et bit0 RBIF), pour autoriser et indiquer les interruptions (INT, RB). 33

36 L EEPROM de 64 bytes : C est une mémoire accessible en lecture/écriture. Cette mémoire est implantée en d hors de la zone DATA RAM et adresser séparément par leurs registres spéciaux. Ces registres sont : - Le registre «EECON1» situé à la banque 1. - Le registre «EECON2» situé à la banque 1 (registre virtuel). - Le registre «EEADR» situé à la banque 0. - Le registre «EEDATA» situé à la banque 0. Le registre «EEDATA» est de 8bits utilisé pour la lecture/écriture de données dans l EEPROM. Le registre «EEADR» est de 8bits utilisé pour adresser un emplacement dans l EEPROM parmi 64 emplacements (seulement les 6bits du poids faible sont utilisés). L opération de l écriture se fait après une opération d effacement automatique de l emplacement à écrire par la logique du contrôle. Le temps d écriture dépend de la tension d alimentation (Vdd) et la température de CI, en général est une opération lente dépasse 4ms. Synoptique de l EEPROM : E E A D R E E D A T A 6 8 Bloc de 64 bytes. De l adresse 0x00 0x3F. 8 Logique du contrôle de l EEPROM EECON1 EECON2 Bus interne de la zone DATA 34

37 La structure de registre EECON1 : c est le registre de contrôle/état de l EEPROM (seulement les 5bits du poids faible sont utilisés). Les bits 7 5 : non utilisés. EEIF : flag d interruption pour l opération d écriture dans l EEPROM. - EEIF = 1 indique le fin de l écriture dans l EEPROM (Effacement Soft). - EEIF = 0 l opération de l écriture n est pas fini ou on a pas lancé. WRERR : flag d indication d une erreur l or d une opération d écriture. - WRERR = 1 arrêt prématuré sur l opération d écriture (MCLR\, Time-out WDT). - WRERR = 0 l opération de l écriture est terminé sans aucun problème. WREN : bit d autorisation de l écriture dans l EEPROM. - WREN = 1 Ecriture autorisée. - WREN = 1 Ecriture non autorisée. WR : bit de contrôle de l écriture. - WR = 1 initialisation de cycle d écriture, ce bit est effacé par le HARDWARE si l opération de l écrite est terminée. - WR = 0 l opération de l écriture dans l EEPROM est terminée. RD : bit de contrôle de la lecture. - RD = 1 initialisation de cycle de lecture, ce bit est effacé par le HARDWARE si l opération de la lecture est terminée. - RD = 0 rien. Opération de lecture d un byte de l EEPROM : pour lire un emplacement EEPROM, il faut passer par les étapes suivantes : - mettre l adresse à lire dans le registre «EEADR». - activer la lecture par RD 1. - Finalement le contenue de cette case est située dans le registre «EEDATA». Exemple : mettre le contenue de l adresse 0x10 dans l EEPROM vers le PORTB. N.B : n oublie pas le basculement vers la banque de chaque registre à chaque accès. Code : ; Basculer vers la banque 0 BCF STATUS, RP0 ; mettre l adresse 0x10 dans EEADR MOVLW 0x10 MOVWF EEADR ; Basculer vers la banque 1 (EECON1) BSF STATUS, RP0 ; Lancer l opération de lecture BSF EECON1, RD ; Basculer vers la banque 0 (EEDATA & PORTB) BCF STATUS, RP0 ; PORTB EEDATA MOVF EEDATA, W MOVWF PORTB 35

38 Opération d écriture d un byte dans l EEPROM : toujours, il faut passer par un ensemble des étapes pour la faire. - Mettre l adresse à écrire dans EEADR. - Mettre la donnée à écrire dans EEDATA. - Désactiver les interruptions GIE 0 (si le programme fonctionne sous interruption). - Autoriser l écriture par WREN 1. - Ecrire la valeur 0x55 dans EECON2 puis la valeur 0xAA dans le même registre. - Activer l opération d écriture par WR 1. - Activer les interruptions GIE 1 (si le programme fonctionne sous interruption). Exemple : mettre le contenue du PORTB dans l adresse 0x20 EEPROM. ; Basculer vers la banque 0 BCF STATUS, RP0 ; mettre l adresse 0x20 dans EEADR MOVLW 0x20 MOVWF EEADR ; mettre PORTB EEDATA MOVF PORTB, W MOVWF EEDATA ; Basculer vers la banque 1 (EECON1) BSF STATUS, RP0 ; Désactiver les interruptions BCF INTCON, GIE ; Autoriser l écriture BSF EECON1, WREN ; Ecriture de la séquence 0x55, 0xAA dans EECON2 MOVLW 0x55 MOVWF EECON2 MOVLW 0xAA MOVWF EECON2 ; Activer l écriture par WR 1 BSF EECON1, WR ; Basculer vers la banque 0 BCF STATUS, RP0 36

39 Le TIMER de 8bits (TMR0): est un TIMER libre (Free Timer) contient les caractéristiques suivantes : - 8 bits Temporisateur/Compteur. - Accessible en Lecture/Ecriture. - Pré-diviseur (PRESCALER) programmable sur 8 bits. - Source horloge sélective Interne/Externe. - Génère une interruption l or de débordement, passage de 0xFF vers 0x00. - Front d incrémentation sélectif pour la source externe. Synoptique du TMR0 : Vous remarquez que ce module constitué de 3 entités sont : - La logique de génération de l horloge TMR0. - Le registre TMR0. - Le flag T0IF, indicateur de débordement. Modes de fonctionnement : Le module TME0 fonctionne en 2 modes, mode Temporisateur et mode Compteur. Mode Temporisateur : Pour configurer ce mode, il faut mettre T0CS 0 le bit5 de OPTION_REG. Dans ce mode le TMR0 compte les impulsions de l horloge système (Fosc/4). Mode Compteur : Pour configurer ce mode, il faut mettre T0CS 1, ce mode utilise la ligne RA4/T0CKI comme source (il faut configurer cette ligne en entrée dans TRISA). Le Pré-diviseur (PRESCALER) : est une logique de division de fréquence constitué par un compteur de 8 bascules et un MUX 8 1 sélectionner par les bits PS2 PS0 dans OPTION_REG, avec un autre bit PSA situé dans le même registre pour sélectionner l attaque du TMR0 (la source en direct, ou par le Pré-diviseur). On distingue que, je peut diviser la fréquence source sur 2, 4, 8, 16, 32, 64, 128 et 256, et d après la synoptique du module TMR0, on remarque que ce pré-diviseur n est pas accessible soit en lecture ou en écriture, la CPU toute seule fait un effacement automatique du prédiviseur dans le cas si le registre TMR0 est une destination par l UAL (cette limite va créer un problème par la suite), ce pré-diviseur est composant commun entre le TMR0 et le WDT. 37

40 Source Compteur de 8 bascules 1 8 Mux PS2/PS0 0 PSA Les registres responsables à la manipulation/configuration du module TMR0 sont : - Le registre «TMR0» situé à la banque 0. - Le registre «INTCON» contient les bits T0IE, T0IF. - Le registre «OPTION_REG» situé à la banque 1, pour configurer le TMR0. - Le registre «TRISA» pour mettre la ligne RA4 en entrée si le module fonctionne en mode compteur (situé à la banque 1). Structure de registre «OPTION_REG» : c est le registre de configuration du mode TMR0 responsable à la sélection du mode de fonctionnement, type du front pour la source externe et la configuration de pré-diviseur. 38

41 Le chien de garde (WDT) et le mode mise en vielle : Le chien de garde (WDT) : est un TIMER libre démarre à l instant de l alimentation de µc si le module est en service par le mot de configuration du µc. A cause de l horloge RC séparer de l horloge système, le WDT après son débordement soit fait un reset de µc ou réveille le µc après l exécution de l instruction «SLEEP», j ai indiqué précédemment que le pré-diviseur est un module commun entre le TMR0 et le WDT, pour associer le pré-diviseur au WDT, on met PSA = 1, et le pré-diviseur devient un post-diviseur pour le WDT. Le post-diviseur et le WDT sont effacés après l exécution de l instruction «CLRWDT», la période de WDT est 18ms sans post-diviseur (min 7ms, max 33ms). 39

42 Le mode mise en vielle : pour entrer dans cette situation, on exécute l instruction «SLEEP» qui fait le travail suivant : - WDT 0. - Post-diviseur 0. - TO\ 1. - PD\ 0. - Arrêt l horloge système. Cette situation arrête le µc et leurs périphériques fonctionnant sous l horloge système, et pour sortir de cette situation, il faut réceptionner un événement indépendant de l horloge système comme : - Un reset par la ligne MCLR\. - Débordement de WDT. - Déclanchement d une interruption par un périphérique. Dans le cas de PIC 16F84A, les interruptions réveillent le µc sont : - Evénement sur la ligne RB0/INT. - Changement d état des lignes RB4:RB7. - Fin d écriture dans l EEPROM. Vous remarquez que le débordement de TME0 ne réveille pas le µc, à cause du bloc de synchronisation avant l attaque de TMR0, par ce que cette partie utilise l horloge système. J ai une question, qu est ce qui se passe après le réveille de µc? D après le DATA SHEET la réponse est la suivante : - après un reset sur la ligne MCLR\, la CPU exécute l instruction située à l adresse 0x Après un TIME-OUT (débordement WDT), la CPU exécute l instruction qui suit l instruction «SLEEP». - Après une interruption, on a 2 situations : o Si GIE = 0, la CPU exécute l instruction qui suit l instruction «SLEEP». o Si GIE = 1, exécute l instruction qui suit l instruction «SLEEP» puis branche vers le vecteur d interruption situé à l adresse 0x004. Remarque très importante : le mode mise en veille est impossible si GIE=0 et tous les flag et autorisateurs d interruptions égal à 1 avant l exécution de l instruction «SLEEP», la CPU exécute «SLEEP» comme «NOP» puis exécute l instruction qui suit l instruction «SLEEP». On résumé, on donne la table suivante : Evénement Branchement TO\ PD\ Mise sous tension 0x MCLR\ 0x MCLR\ (SLEEP) 0x Débordement WDT 0x Débordement WDT (SLEEP) PC Interruption (SLEEP avec GIE=0) PC+1 U U Interruption (SLEEP avec GIE=1) PC+1 puis 0x004 U U U : il garde la valeur précédente. 40

43 La série de TP N :1 But : manipulation de PIC 16F84A et leurs périphériques. Exercice 1 : Soit le montage suivant : 1- Ecrire un programme qui affiche l état de DIPSW sur le PORTA dans les 4 Leds relies aux PORTB (RB0:RB3). 2- Ecrire le même programme de la question 1 avec l affichage de l état inverse de DIPSW dans le reste du PORTB (RB4:RB7). 41

44 Exercice 2 : Soit le montage suivant : Ecrire un programme qui réalise le travail suivant : - à chaque appuyer sur le BP (impulsion), afficher la séquence suivante les Leds relies aux PORTB (0x81 0x7E 0x55 0xAA 0x3C C3 0x0F 0xF0). 42

45 Exercice 3 : Soit le montage suivant : Ecrire un programme qui réalise un compteur M10 chaque appuyer sur BP1 et un décompteur M10 chaque appuyer sur BP2. 43

46 Exercice 4 : Réaliser le montage & écrire le programme qui fait le travail suivant : - Initialiser le FILE d adresse (0x30) par zéro. - A chaque impulsion sur RB0, le ISR incrémente cet FILE puis affiche son contenue sur les 8 Leds relies aux pins du PIC. Exercice 5 : Réaliser le montage & écrire le programme qui fait le travail suivant : - Initialiser le TMR0 par la valeur 0xFA. - Compter les impulsions arrivées de la ligne RA4 par le TMR0, et afficher le contenue du TMR0 sur le PORTB (8 Leds). - A chaque débordement, le ISR initialise le TMR0 par la valeur 0xFA, puis change le Pré-diviseur par : 1:1 1:2 1:4 1:1 Exercice 6 : Réaliser un compteur M10 (affichage sur DIGIT) avec une temporisation chaque 200ms se fait par le TMR0. Exercice 7 : On donne le montage de jeu de lumière suivant : Utiliser le TMR0, l EEPROM, & les PORTA, B pour réaliser le menu suivant : DIPSW2 Travail a effectué 00 Clignement de toutes les Leds à chaque 300ms 01 Défilement de droite à gauche à chaque 300ms 10 Basculement 4 Leds par 4 à chaque 300ms 11 Défilement de l intérieur vers l extérieur à chaque 300ms 44

47 Exercice 8 : Réaliser un compteur BCD M10000 (0 9999) avec l incrémentation se fait chaque impulsion sur RB0 dans l ISR (manipulation de l affichage multiplexé). Exercice 9 : Réaliser un décodeur d un clavier matriciel 4x4 et afficher la touche décodée sur un ensemble de 4 Leds. - Dans le mode normal. - Dans le mode économique. Exercice 10 : Relais programmable à base de PIC Soit le montage suivant : START Reprogrammer M1 M2 L1 Relais programmable À base de PIC T1 Mémoriser T2 1 Sortie relais 2 45

48 Fonctionnement : - Si le bouton «Reprogrammer» est appuyé le PIC est donc dans le mode programmation, ce dernier attend une impulsion sur le bouton «Mémoriser» pour sauvegarder la fonction et la temporisation sélectionnées par le clavier (M2M1T2T1), la LED «L1» doit allumer «200ms» pour indiquer la mémorisation du mode de relais demandé dans l EEPROM de PIC, après la programmation de relais, le PIC attend une impulsion sur le bouton «START» pour déclancher le relais. - Si le bouton «Reprogrammer» n est pas appuyé, le PIC déclanche le mode mémorisé dans l EEPROM. Les fonctions et les temporisations possibles par le clavier : M2M1 Fonction T2T1 Temporisation 00 Minuterie 00 1 s 01 Retardateur 01 2 s 10 Télé- rupteur 10 3 s 11 Générateur 11 4 s Minuterie Impulsion START Temporisation Retardateur Impulsion START Temporisation Télé- rupteur Impulsion START Impulsion START Temporisation Générateur Impulsion START Temporisation Timing de chaque mode avec l impulsion START 46

PIC : COURS ASSEMBLEUR

PIC : COURS ASSEMBLEUR PIC : COURS ASSEMBLEUR I) Fonctions de base d une machine informatique Contenir de façon permanente les tâches à exécuter (mémoire programme) en ROM ou sur support magnétique. Contenir de façon temporaire

Plus en détail

PIC 16F84. Philippe Hoppenot

PIC 16F84. Philippe Hoppenot Département GEII PIC 16F84 Philippe Hoppenot hoppenot@lsc.univ-evry.fr http://lsc.univ-evry.fr/~hoppenot/presentationfrancaise.html Ce cours sur le PIC est dispensé en licence professionnelle Concepteurs

Plus en détail

MICROCONTROLEURS PIC PROGRAMMATION EN C. V. Chollet - cours-pic-13b - 09/12/2012 Page 1 sur 44

MICROCONTROLEURS PIC PROGRAMMATION EN C. V. Chollet - cours-pic-13b - 09/12/2012 Page 1 sur 44 MICROCONTROLEURS PIC PROGRAMMATION EN C V. Chollet - cours-pic-13b - 09/12/2012 Page 1 sur 44 Chapitre 1 GENERALITES 1 DEFINITION Un microcontrôleur est un microprocesseur RISC (Reduced Instruction Set

Plus en détail

La programmation des PIC en C. Les fonctions, les interruptions.

La programmation des PIC en C. Les fonctions, les interruptions. La programmation des PIC en C Les fonctions, les interruptions. Réalisation : HOLLARD Hervé. http://electronique-facile.com Date : 26 août 2004 Révision : 1.2 Sommaire Sommaire... 2 Introduction... 3 Structure

Plus en détail

Informatique Industrielle

Informatique Industrielle Informatique Industrielle Cours Master SIS Micro-contrôleurs Microchip Intervenants : Marc Allain - marc.allain@fresnel.fr Julien Marot - julien.marot@fresnel.fr Coordonnées Marc Allain [Maître de conférence]

Plus en détail

2013 Nos nouveaux outils basés sur Arduino www.didel.com/diduinopub.pdf

2013 Nos nouveaux outils basés sur Arduino www.didel.com/diduinopub.pdf http://www.didel.com/ info@didel.com http://www.bricobot.ch/ info@bricobot.ch www.didel.com/pic/prog877.pdf Apprendre à programmer avec le 16F877A --plus mis à jour voir http://www.didel.com/pic/cours877-1.pdf

Plus en détail

Architecture des ordinateurs

Architecture des ordinateurs Architecture des ordinateurs Cours 4 5 novembre 2012 Archi 1/22 Micro-architecture Archi 2/22 Intro Comment assembler les différents circuits vus dans les cours précédents pour fabriquer un processeur?

Plus en détail

Ordinateurs, Structure et Applications

Ordinateurs, Structure et Applications Ordinateurs, Structure et Applications Cours 10, Les interruptions Etienne Tremblay Université Laval, Hiver 2012 Cours 10, p.1 Les interruptions du 8086 Une interruption interrompt l exécution séquentielle

Plus en détail

PIC EVAL Dev Board PIC18F97J60

PIC EVAL Dev Board PIC18F97J60 PIC EVAL Dev Board PIC18F97J60 2 TP1 : Prise en main de l environnement de programmation pour la carte PIC EVAL-ANFA Pour répondre aux questions et justifier vos réponses, vous pouvez faire des copies

Plus en détail

INITIATION AU LANGAGE C SUR PIC DE MICROSHIP

INITIATION AU LANGAGE C SUR PIC DE MICROSHIP COURS PROGRAMMATION INITIATION AU LANGAGE C SUR MICROCONTROLEUR PIC page 1 / 7 INITIATION AU LANGAGE C SUR PIC DE MICROSHIP I. Historique du langage C 1972 : naissance du C dans les laboratoires BELL par

Plus en détail

Flowcode - Mode d'emploi

Flowcode - Mode d'emploi Flowcode - Mode d'emploi Sommaire I) Introduction Introduction 4 Nouveautés de la version 2 5 Les microcontrôleurs PIC 5 Support technique 7 II) Pour commencer Concevoir un algorigramme pour un composant

Plus en détail

IFT1215 Introduction aux systèmes informatiques

IFT1215 Introduction aux systèmes informatiques Introduction aux circuits logiques de base IFT25 Architecture en couches Niveau 5 Niveau 4 Niveau 3 Niveau 2 Niveau Niveau Couche des langages d application Traduction (compilateur) Couche du langage d

Plus en détail

Rappels d architecture

Rappels d architecture Assembleur Rappels d architecture Un ordinateur se compose principalement d un processeur, de mémoire. On y attache ensuite des périphériques, mais ils sont optionnels. données : disque dur, etc entrée

Plus en détail

Architecture des ordinateurs TD1 - Portes logiques et premiers circuits

Architecture des ordinateurs TD1 - Portes logiques et premiers circuits Architecture des ordinateurs TD1 - Portes logiques et premiers circuits 1 Rappel : un peu de logique Exercice 1.1 Remplir la table de vérité suivante : a b a + b ab a + b ab a b 0 0 0 1 1 0 1 1 Exercice

Plus en détail

IV- Comment fonctionne un ordinateur?

IV- Comment fonctionne un ordinateur? 1 IV- Comment fonctionne un ordinateur? L ordinateur est une alliance du hardware (le matériel) et du software (les logiciels). Jusqu à présent, nous avons surtout vu l aspect «matériel», avec les interactions

Plus en détail

Contraintes, particularités. 1. Généralités... 2. 2. Gestion de la mémoire... 2. a. Type des variables et constantes... 2

Contraintes, particularités. 1. Généralités... 2. 2. Gestion de la mémoire... 2. a. Type des variables et constantes... 2 C Embarque Contraintes, particularités 1. Généralités... 2 2. Gestion de la mémoire... 2 a. Type des variables et constantes.... 2 b. Variables locales ou globales... 3 3. Interruptions... 5 4. Imposer

Plus en détail

Programmation en langage C d un µcontrôleur PIC à l aide du compilateur C-CCS Sommaire

Programmation en langage C d un µcontrôleur PIC à l aide du compilateur C-CCS Sommaire Programmation en langage C d un µcontrôleur PIC à l aide du compilateur C-CCS CCS Sommaire Généralités sur le langage. 2 Structure d un programme en C.. 3 Les constantes et équivalences.. 4 Les variables...

Plus en détail

LISA. Manuel Utilisateur

LISA. Manuel Utilisateur LISA Manuel Utilisateur (V6 - avril 2006) Traduction Multipower 1999-2006 Table des matières 1. INTRODUCTION...6 1.1. A PROPOS DE PROTEUS VSM...6 1.2. À PROPOS DE LA DOCUMENTATION...7 2. TUTORIAL DE LA

Plus en détail

ARCEAU DE PARKING MOTORISÉ VIGIPARK

ARCEAU DE PARKING MOTORISÉ VIGIPARK BEP Métiers de l électronique ARCEAU DE PARKING MOTORISÉ VIGIPARK Dossier technique Épreuve EP1 DOSSIER SUPPORT DE L ÉPREUVE EP1 Page 1 / 49 SOMMAIRE 1. ÉTUDE DU SYSTÈME TECHNIQUE...4 1.1. MISE EN SITUATION...4

Plus en détail

Modules d automatismes simples

Modules d automatismes simples Modules d automatismes simples Solutions pour automatiser Modules d'automatismes Enfin, vraiment simple! Un concentré de solution Pour vos petites applications d'automatismes millenium gère : Temporisations

Plus en détail

TABLE DES MATIÈRES 1. DÉMARRER ISIS 2 2. SAISIE D UN SCHÉMA 3 & ' " ( ) '*+ ", ##) # " -. /0 " 1 2 " 3. SIMULATION 7 " - 4.

TABLE DES MATIÈRES 1. DÉMARRER ISIS 2 2. SAISIE D UN SCHÉMA 3 & '  ( ) '*+ , ##) #  -. /0  1 2  3. SIMULATION 7  - 4. TABLE DES MATIÈRES 1. DÉMARRER ISIS 2 2. SAISIE D UN SCHÉMA 3! " #$ % & ' " ( ) '*+ ", ##) # " -. /0 " 1 2 " 3' & 3. SIMULATION 7 0 ( 0, - 0 - " - & 1 4. LA SOURIS 11 5. LES RACCOURCIS CLAVIER 11 STI Electronique

Plus en détail

Le langage C. Séance n 4

Le langage C. Séance n 4 Université Paris-Sud 11 Institut de Formation des Ingénieurs Remise à niveau INFORMATIQUE Année 2007-2008 Travaux pratiques d informatique Le langage C Séance n 4 But : Vous devez maîtriser à la fin de

Plus en détail

Cours Informatique 1. Monsieur SADOUNI Salheddine

Cours Informatique 1. Monsieur SADOUNI Salheddine Cours Informatique 1 Chapitre 2 les Systèmes Informatique Monsieur SADOUNI Salheddine Un Système Informatique lesystème Informatique est composé de deux parties : -le Matériel : constitué de l unité centrale

Plus en détail

REALISATION d'un. ORDONNANCEUR à ECHEANCES

REALISATION d'un. ORDONNANCEUR à ECHEANCES REALISATION d'un ORDONNANCEUR à ECHEANCES I- PRÉSENTATION... 3 II. DESCRIPTION DU NOYAU ORIGINEL... 4 II.1- ARCHITECTURE... 4 II.2 - SERVICES... 4 III. IMPLÉMENTATION DE L'ORDONNANCEUR À ÉCHÉANCES... 6

Plus en détail

CONFIGURATION DE L AUTOMATE SIEMENS

CONFIGURATION DE L AUTOMATE SIEMENS CONFIGURATION DE L AUTOMATE SIEMENS Créer un projet Dans le bureau de Windows, double-cliquer sur l icône «SIMATIC Manager» : Cliquer ensuite sur l icône «nouveau» : Choisir un nom de projet et valider

Plus en détail

ARDUINO DOSSIER RESSOURCE POUR LA CLASSE

ARDUINO DOSSIER RESSOURCE POUR LA CLASSE ARDUINO DOSSIER RESSOURCE POUR LA CLASSE Sommaire 1. Présentation 2. Exemple d apprentissage 3. Lexique de termes anglais 4. Reconnaître les composants 5. Rendre Arduino autonome 6. Les signaux d entrée

Plus en détail

SOMMAIRE Equipement Instructions générales. 1.Vue générale. 1.1 Face avant. 1.2 Face arrière. 2 Mode D emploi. 2.1.1 Adressage DMX

SOMMAIRE Equipement Instructions générales. 1.Vue générale. 1.1 Face avant. 1.2 Face arrière. 2 Mode D emploi. 2.1.1 Adressage DMX SOMMAIRE Equipement Instructions générales. 1.Vue générale 1.1 Face avant 1.2 Face arrière 2 Mode D emploi 2.1.1 Adressage DMX 2.1.2 Bloc de puissance en mode Statique 2.1.3 Bloc de puissance en mode Dimmer

Plus en détail

opti-vm Serveur Vocal et Standard Automatique Siemens HiPath 11xx et Hipath 12xx Installation et Guide Utilisateur Version 1.0

opti-vm Serveur Vocal et Standard Automatique Siemens HiPath 11xx et Hipath 12xx Installation et Guide Utilisateur Version 1.0 opti-vm Serveur Vocal et Standard Automatique Siemens HiPath 11xx et Hipath 12xx Installation et Guide Utilisateur Version 1.0 SOMMAIRE SOMMAIRE 1- INTRODUCTION... 4 1.1 Standard Automatique...4 1.2 Messagerie

Plus en détail

COACH-II Manuel d utilisation

COACH-II Manuel d utilisation COACH-II Manuel d utilisation MA-COACH-II_FR Page 1 on 25 Rev: 29/03/2011 1. INTRODUCTION...3 2. VUE GENERALE...4 3. DIMENSIONS MECANIQUES...5 4. CARACTERISTIQUES TECHNIQUES...6 4.1. Générales...6 4.1.1.

Plus en détail

Proteus VSM. manuel_vsm.doc

Proteus VSM. manuel_vsm.doc Manuel Utilisateur Proteus VSM Circuits Animés Interactifs (Proteus VSM - Août 2000) Multipower manuel_vsm.doc SOMMAIRE SOMMAIRE...... 2 TUTORIELS...... 4 TUTORIEL DE LA SIMULATION INTERACTIVE...4 Introduction...4

Plus en détail

ASR1 TD7 : Un microprocesseur RISC 16 bits

ASR1 TD7 : Un microprocesseur RISC 16 bits {Â Ö Ñ º ØÖ Ý,È ØÖ ºÄÓ Ù,Æ ÓÐ ºÎ ÝÖ Ø¹ ÖÚ ÐÐÓÒ} Ò ¹ÐÝÓÒº Ö ØØÔ»»Ô Ö Óº Ò ¹ÐÝÓÒº Ö» Ö Ñ º ØÖ Ý»¼ Ö½» ASR1 TD7 : Un microprocesseur RISC 16 bits 13, 20 et 27 novembre 2006 Présentation générale On choisit

Plus en détail

Assembleur. Faculté I&C, André Maurer, Claude Petitpierre

Assembleur. Faculté I&C, André Maurer, Claude Petitpierre Assembleur Faculté I&C, André Maurer, Claude Petitpierre INTRODUCTION Logiciel utilisé Faculté I&C, André Maurer, Claude Petitpierre MEMOIRE Mémoire Faculté I&C, André Maurer, Claude Petitpierre Mémoire

Plus en détail

MICROINFORMATIQUE NOTE D APPLICATION 1 (REV. 2011) ARITHMETIQUE EN ASSEMBLEUR ET EN C

MICROINFORMATIQUE NOTE D APPLICATION 1 (REV. 2011) ARITHMETIQUE EN ASSEMBLEUR ET EN C Haute Ecole d Ingénierie et de Gestion Du Canton du Vaud MICROINFORMATIQUE NOTE D APPLICATION 1 (REV. 2011) ARITHMETIQUE EN ASSEMBLEUR ET EN C Programmation en mode simulation 1. DOCUMENTS DE RÉFÉRENCE...

Plus en détail

Mini_guide_Isis_v6.doc le 10/02/2005 Page 1/15

Mini_guide_Isis_v6.doc le 10/02/2005 Page 1/15 1 Démarrer... 2 1.1 L écran Isis... 2 1.2 Les barres d outils... 3 1.2.1 Les outils d édition... 3 1.2.2 Les outils de sélection de mode... 4 1.2.3 Les outils d orientation... 4 2 Quelques actions... 5

Plus en détail

1 Démarrer... 3 1.1 L écran Isis...3 1.2 La boite à outils...3 1.2.1 Mode principal... 4 1.2.2 Mode gadget...4 1.2.3 Mode graphique...

1 Démarrer... 3 1.1 L écran Isis...3 1.2 La boite à outils...3 1.2.1 Mode principal... 4 1.2.2 Mode gadget...4 1.2.3 Mode graphique... 1 Démarrer... 3 1.1 L écran Isis...3 1.2 La boite à outils...3 1.2.1 Mode principal... 4 1.2.2 Mode gadget...4 1.2.3 Mode graphique... 4 2 Quelques actions... 5 2.1 Ouvrir un document existant...5 2.2

Plus en détail

1 Architecture du cœur ARM Cortex M3. Le cœur ARM Cortex M3 sera présenté en classe à partir des éléments suivants :

1 Architecture du cœur ARM Cortex M3. Le cœur ARM Cortex M3 sera présenté en classe à partir des éléments suivants : GIF-3002 SMI et Architecture du microprocesseur Ce cours discute de l impact du design du microprocesseur sur le système entier. Il présente d abord l architecture du cœur ARM Cortex M3. Ensuite, le cours

Plus en détail

EPREUVE OPTIONNELLE d INFORMATIQUE CORRIGE

EPREUVE OPTIONNELLE d INFORMATIQUE CORRIGE EPREUVE OPTIONNELLE d INFORMATIQUE CORRIGE QCM Remarque : - A une question correspond au moins 1 réponse juste - Cocher la ou les bonnes réponses Barème : - Une bonne réponse = +1 - Pas de réponse = 0

Plus en détail

Licence ST Université Claude Bernard Lyon I LIF1 : Algorithmique et Programmation C Bases du langage C 1 Conclusion de la dernière fois Introduction de l algorithmique générale pour permettre de traiter

Plus en détail

Microprocesseur + Logiciel

Microprocesseur + Logiciel Microprocesseur + Logiciel Robot EVALBOT MOHAMED AKIL BUREAU 5253 UNITE IGI 1001 PROGRAMMATION DES MICROPROCESSEURS Présentation [IGI1001] CONTEXTE SCIENTIFIQUE... 4 1. OBJECTIFS DE L UNITE... 6 2. OBJECTIFS

Plus en détail

Conception de circuits numériques et architecture des ordinateurs

Conception de circuits numériques et architecture des ordinateurs Conception de circuits numériques et architecture des ordinateurs Frédéric Pétrot Année universitaire 2014-2015 Structure du cours C1 C2 C3 C4 C5 C6 C7 C8 C9 C10 C11 Codage des nombres en base 2, logique

Plus en détail

GPA770 Microélectronique appliquée Exercices série A

GPA770 Microélectronique appliquée Exercices série A GPA770 Microélectronique appliquée Exercices série A 1. Effectuez les calculs suivants sur des nombres binaires en complément à avec une représentation de 8 bits. Est-ce qu il y a débordement en complément

Plus en détail

Compilation (INF 564)

Compilation (INF 564) Présentation du cours Le processeur MIPS Programmation du MIPS 1 Compilation (INF 564) Introduction & architecture MIPS François Pottier 10 décembre 2014 Présentation du cours Le processeur MIPS Programmation

Plus en détail

CAA/SE N O T I C E D E M O N T A G E E T D U T I L I S A T I O N KIT DE MONTAGE. Clavier lumineux - 100 codes DIGICODE

CAA/SE N O T I C E D E M O N T A G E E T D U T I L I S A T I O N KIT DE MONTAGE. Clavier lumineux - 100 codes DIGICODE CAA/SE Clavier lumineux - 100 codes N O T I C E D E M O N T A G E E T D U T I L I S A T I O N L affichage lumineux par les leds rouge, jaune et verte est toujours opérationnel. Il est possible d avoir

Plus en détail

CENTRAL TELEPHONIQUE ANALOGIQUE 3 LIGNES 12 POSTES autocommutateur téléphone SELECTEUR FAX TELEPHONE. Ref 3L12PF = VIP312

CENTRAL TELEPHONIQUE ANALOGIQUE 3 LIGNES 12 POSTES autocommutateur téléphone SELECTEUR FAX TELEPHONE. Ref 3L12PF = VIP312 CENTRAL TELEPHONIQUE ANALOGIQUE 3 LIGNES 12 POSTES autocommutateur téléphone SELECTEUR FAX TELEPHONE Sommaire Caractéristiques de l appareil Installation A lire attentivement avant installation Allumage

Plus en détail

AMICUS 18 (2ème partie) 4) Présentation du logiciel Amicus IDE

AMICUS 18 (2ème partie) 4) Présentation du logiciel Amicus IDE AMICUS 18 (2ème partie) Dans la première partie, nous avions présenté la platine Amicus 18 et nous avions réalisé quelques montages simples. Nous allons découvrir un peu mieux la programmation. Dans la

Plus en détail

Introduction à l architecture des ordinateurs. Adrien Lebre Décembre 2007

Introduction à l architecture des ordinateurs. Adrien Lebre Décembre 2007 Introduction à l architecture des ordinateurs Adrien Lebre Décembre 2007 Plan - partie 1 Vue d ensemble La carte mère Le processeur La mémoire principal Notion de bus Introduction à l architecture des

Plus en détail

Partie 1. Professeur : Haouati Abdelali. CPGE Lycée Omar Ibn Lkhattab - Meknès www.haouati.com haouaticpge@gmail.com

Partie 1. Professeur : Haouati Abdelali. CPGE Lycée Omar Ibn Lkhattab - Meknès www.haouati.com haouaticpge@gmail.com Partie 1 Professeur : Haouati Abdelali CPGE Lycée Omar Ibn Lkhattab - Meknès www.haouati.com haouaticpge@gmail.com Partie I : Généralités et algorithmique de base 1. Environnement matériel et logiciel

Plus en détail

Mini_guide_Isis.pdf le 23/09/2001 Page 1/14

Mini_guide_Isis.pdf le 23/09/2001 Page 1/14 1 Démarrer...2 1.1 L écran Isis...2 1.2 La boite à outils...2 1.2.1 Mode principal...3 1.2.2 Mode gadgets...3 1.2.3 Mode graphique...3 2 Quelques actions...4 2.1 Ouvrir un document existant...4 2.2 Sélectionner

Plus en détail

Manuel d aide à la pose

Manuel d aide à la pose Manuel d aide à la pose Téléphones d Urgence de la série DICTIS MICOME Téléphones d urgence Téléalarmes Pont de Peille 06340 Drap France Tel : (33) 4 93 91 16 17 Fax : (33) 4 93 91 26 27 e-mail : micome@micome.com

Plus en détail

sommaire Archives... Archiver votre messagerie... Les notes... Les règles de messagerie... Les calendriers partagés... 15 Les listes de diffusions...

sommaire Archives... Archiver votre messagerie... Les notes... Les règles de messagerie... Les calendriers partagés... 15 Les listes de diffusions... sommaire Votre solution de messagerie Futur Office évolue. Pour préparer au mieux la migration qui aura lieu le week-end du 23-24 Juin, nous vous conseillons de réaliser les actions préalables décrites

Plus en détail

La mémoire. Un ordinateur. L'octet. Le bit

La mémoire. Un ordinateur. L'octet. Le bit Introduction à l informatique et à la programmation Un ordinateur Un ordinateur est une machine à calculer composée de : un processeur (ou unité centrale) qui effectue les calculs une mémoire qui conserve

Plus en détail

Connexion sur REDLION G-308 avec le modem GSM GDW-11 pour envoi de SMS

Connexion sur REDLION G-308 avec le modem GSM GDW-11 pour envoi de SMS Connexion sur REDLION G-308 avec le modem GSM GDW-11 pour envoi de SMS Paramétrage du GDW-11 avec l utilitaire GD-Tool fourni avec le modem: 1. En utilisant GD-TOOL (connecté le modem sur le port série

Plus en détail

EL70x1 en mode position. Mise en œuvre rapide. VERSION : Beta / DATE : 31 Juillet 2011

EL70x1 en mode position. Mise en œuvre rapide. VERSION : Beta / DATE : 31 Juillet 2011 - EL70x1 en mode position Mise en œuvre rapide VERSION : Beta / DATE : 31 Juillet 2011 Les bornes EL70x1 permettent de contrôler des moteurs pas à pas. Soit la consigne est générée par une tâche NC, soit

Plus en détail

Carte Relais GSM (Manuel Utilisateur)

Carte Relais GSM (Manuel Utilisateur) Carte Relais GSM (Manuel Utilisateur) Carte Relais GSM Introduction Cette carte est une véritable centrale de télécommande et d alarme par GSM. Elle se connecte par un port série à un modem GSM compatible

Plus en détail

ET 24 : Modèle de comportement d un système Boucles de programmation avec Labview.

ET 24 : Modèle de comportement d un système Boucles de programmation avec Labview. ET 24 : Modèle de comportement d un système Boucles de programmation avec Labview. Sciences et Technologies de l Industrie et du Développement Durable Formation des enseignants parcours : ET24 Modèle de

Plus en détail

Structure d un programme

Structure d un programme Architecture des ordinateurs Cours 6 Structure d un programme 3 décembre 2012 Archi 1/30 Programme en Assembleur Archi 2/30 Sections de données programme en assembleur = fichier texte (extension.asm) organisé

Plus en détail

Manuel installateur XT200i

Manuel installateur XT200i Préambule Description La centrale XT200i est un système d alarme sans fil, fonctionnant sur piles, elle est principalement destinée aux marchés du résidentiel, des petits commerces et des ouvrages extérieurs.

Plus en détail

Conférence sur les microcontroleurs.

Conférence sur les microcontroleurs. Conférence sur les microcontroleurs. Le microcontrôleur Les besoins et le développement. Vers 1970, pour des calculs (calculatrice). Le premier est le 4004 de Intel, 90K. La technologie. Les 2 principales

Plus en détail

Programmation assembleur : aperçu

Programmation assembleur : aperçu Assembleur : Younès EL AMRANI. 1 Programmation assembleur : aperçu Programmation en assembleur : NASM Module M14 Semestre 4 Printemps 2010 Equipe pédagogique : Younès El Amrani, Abdelhakim El Imrani, Faissal

Plus en détail

1 Introduction C+ + Algorithm e. languag. Algorigramm. machine binaire. 1-1 Chaîne de développement. Séance n 4

1 Introduction C+ + Algorithm e. languag. Algorigramm. machine binaire. 1-1 Chaîne de développement. Séance n 4 1 Introduction 1-1 Chaîne de développement Algorithm e C+ + Algorigramm e languag e machine binaire Le programme est écrit à l aide de Multiprog sous forme d algorigramme puis introduit dans le microcontrôleur

Plus en détail

SCL LOGICIEL DE CONTROL

SCL LOGICIEL DE CONTROL SCL LOGICIEL DE CONTROL Version 1.3 MRC AUDIO LD- 500 www.mrcaudio.com 1 Contenu 1 - Bienvenu a MRC AUDIO SCL v1.3 2 - Installation du logiciel 3 - Configuration du programme SCL 4 - Contrôle des installations

Plus en détail

DU BINAIRE AU MICROPROCESSEUR - D ANGELIS CIRCUITS CONFIGURABLES NOTION DE PROGRAMMATION

DU BINAIRE AU MICROPROCESSEUR - D ANGELIS CIRCUITS CONFIGURABLES NOTION DE PROGRAMMATION 145 NOTION DE PROGRAMMATION 1/ Complétons notre microprocesseur Nous avons, dans les leçons précédentes décrit un microprocesseur théorique, cependant il s inspire du 6800, premier microprocesseur conçu

Plus en détail

Leçon 1 : Les principaux composants d un ordinateur

Leçon 1 : Les principaux composants d un ordinateur Chapitre 2 Architecture d un ordinateur Leçon 1 : Les principaux composants d un ordinateur Les objectifs : o Identifier les principaux composants d un micro-ordinateur. o Connaître les caractéristiques

Plus en détail

Notice d Utilisation du logiciel Finite Element Method Magnetics version 3.4 auteur: David Meeker

Notice d Utilisation du logiciel Finite Element Method Magnetics version 3.4 auteur: David Meeker Notice d Utilisation du logiciel Finite Element Method Magnetics version 3.4 auteur: David Meeker DeCarvalho Adelino adelino.decarvalho@iutc.u-cergy.fr septembre 2005 Table des matières 1 Introduction

Plus en détail

MANUEL D UTILISATION - Précis Poste de Traitement d Images 1 - Déconvolution

MANUEL D UTILISATION - Précis Poste de Traitement d Images 1 - Déconvolution Service Commun de Microscopie MANUEL D UTILISATION - Précis Poste de Traitement d Images 1 - Déconvolution Version 1 Précis Février 2015 SERVICE COMMUN DE MICROSCOPIE MANUEL D UTILISATION Poste de Traitement

Plus en détail

Technologie 125 Khz pour une performance optimale en en environnement industriel. Création des badges. Programmation des badges

Technologie 125 Khz pour une performance optimale en en environnement industriel. Création des badges. Programmation des badges CC AXKEF LA RFID SECURISEE DANS L ENVIRONNEMENT INDUSTRIEL TERTIAIRE Technologie 125 Khz pour une performance optimale en en environnement industriel Création des badges La technologie AXKEF intègre notre

Plus en détail

Exécution des instructions machine

Exécution des instructions machine Exécution des instructions machine Eduardo Sanchez EPFL Exemple: le processeur MIPS add a, b, c a = b + c type d'opération (mnémonique) destination du résultat lw a, addr opérandes sources a = mem[addr]

Plus en détail

UGVL : HOMOLOGATION PS 200406-01. ZAC du bois Chaland 6 rue des Pyrénées LISES - 91056 EVRY Cedex FRANCE Tel. 01 69 11 46 00 Fax 01 69 11 46 10

UGVL : HOMOLOGATION PS 200406-01. ZAC du bois Chaland 6 rue des Pyrénées LISES - 91056 EVRY Cedex FRANCE Tel. 01 69 11 46 00 Fax 01 69 11 46 10 UGVL : HOMOLOGATION PS 200406-01 ZAC du bois Chaland 6 rue des Pyrénées LISES - 91056 EVRY Cedex FRANCE Tel. 01 69 11 46 00 Fax 01 69 11 46 10 www.aiphone.fr Crée-le : Mai 2005 Dernière modification le

Plus en détail

PROCÉDURE D'INSTALLATION WINDOWS 7 (32 ou 64 bit)

PROCÉDURE D'INSTALLATION WINDOWS 7 (32 ou 64 bit) PROCÉDURE D'INSTALLATION WINDOWS 7 (32 ou 64 bit) DÉTERMINER VOTRE VERSION DE WINDOWS 7 1. Cliquez sur Démarrer puis sur Panneau de configuration 2. Cliquez sur Système et sécurité. 3. Sur la page Système

Plus en détail

Auto formation à Zelio logic

Auto formation à Zelio logic Auto formation à Zelio logic 1 Les Produits Félicitations, vous avez choisi l'un des produits Zelio 2 suivants : 2 Environnement Le Zelio Logic est programmable à l'aide du logiciel Zelio Soft ou en Saisie

Plus en détail

Fiche technique CPU 314SC/DPM (314-6CG13)

Fiche technique CPU 314SC/DPM (314-6CG13) Fiche technique CPU 314SC/DPM (3146CG13) Données techniques N de commande 3146CG13 Type CPU 314SC/DPM Information générale Note Caractéristiques SPEEDBus Technologie SPEED7 24 x DI, 16 x DO, 8 x DIO, 4

Plus en détail

Licence Sciences et Technologies Examen janvier 2010

Licence Sciences et Technologies Examen janvier 2010 Université de Provence Introduction à l Informatique Licence Sciences et Technologies Examen janvier 2010 Année 2009-10 Aucun document n est autorisé Les exercices peuvent être traités dans le désordre.

Plus en détail

Démarrer et quitter... 13

Démarrer et quitter... 13 Démarrer et quitter... 13 Astuce 1 - Ouvrir, modifier, ajouter un élément dans le Registre... 14 Astuce 2 - Créer un point de restauration... 18 Astuce 3 - Rétablir un point de restauration... 21 Astuce

Plus en détail

DMX MASTER I. Notice d utilisation. Lisez soigneusement la notice d utilisation avant d utiliser l appareil! Version 1.

DMX MASTER I. Notice d utilisation. Lisez soigneusement la notice d utilisation avant d utiliser l appareil! Version 1. DMX MASTER I Notice d utilisation Version 1.1- EF Mars 2008 Lisez soigneusement la notice d utilisation avant d utiliser l appareil! Lyre/Scanner Effets lumière avec DMX Dimmer 2005 Musikhaus Thomann 96138

Plus en détail

UE Programmation Impérative Licence 2ème Année 2014 2015

UE Programmation Impérative Licence 2ème Année 2014 2015 UE Programmation Impérative Licence 2 ème Année 2014 2015 Informations pratiques Équipe Pédagogique Florence Cloppet Neilze Dorta Nicolas Loménie prenom.nom@mi.parisdescartes.fr 2 Programmation Impérative

Plus en détail

MANUEL D INSTRUCTION

MANUEL D INSTRUCTION MANUEL D INSTRUCTION ---------- Régulateur de Charge Solaire pour deux batteries, Pour Caravanes, Camping-Cars & Bateaux Courant (12V or 12/24V automatique) NOTES: Utilisable seulement avec des panneaux

Plus en détail

Contrôleur de communications réseau. Guide de configuration rapide DN1657-0606

Contrôleur de communications réseau. Guide de configuration rapide DN1657-0606 K T - N C C Contrôleur de communications réseau Guide de configuration rapide DN1657-0606 Objectif de ce document Ce Guide de configuration rapide s adresse aux installateurs qui sont déjà familiers avec

Plus en détail

Éléments d'architecture des ordinateurs

Éléments d'architecture des ordinateurs Chapitre 1 Éléments d'architecture des ordinateurs Machines take me by surprise with great frequency. Alan Turing 1.1 Le Hardware Avant d'attaquer la programmation, il est bon d'avoir quelques connaissances

Plus en détail

Indicateur. IDé 500. Descriptif indicateur. Schéma/Encombrement

Indicateur. IDé 500. Descriptif indicateur. Schéma/Encombrement Indicateur ML IDé 500 Descriptif indicateur Terminal de pesage compatible avec tous les capteurs de pesage analogiques à jauge de contrainte, optimisé pour les capteurs numériques ARPEGE MASTER K. Cet

Plus en détail

1. PRESENTATION DU PROJET

1. PRESENTATION DU PROJET Bac STI2D Formation des enseignants Jean-François LIEBAUT Denis PENARD SIN 63 : Prototypage d un traitement de l information analogique et numérique (PSoC) 1. PRESENTATION DU PROJET Les systèmes d éclairage

Plus en détail

On distingue deux grandes catégories de mémoires : mémoire centrale (appelée également mémoire interne)

On distingue deux grandes catégories de mémoires : mémoire centrale (appelée également mémoire interne) Mémoire - espace destiné a recevoir, conserver et restituer des informations à traiter - tout composant électronique capable de stocker temporairement des données On distingue deux grandes catégories de

Plus en détail

Ladibug TM 2.0 Logiciel de présentation visuel d'image Manuel de l utilisateur - Français

Ladibug TM 2.0 Logiciel de présentation visuel d'image Manuel de l utilisateur - Français Ladibug TM 2.0 Logiciel de présentation visuel d'image Manuel de l utilisateur - Français Table des Matières 1. Introduction... 2 2. Spécifications du Système... 2 3. Installer Ladibug... 3 4. Connexion

Plus en détail

fullprotect inside EOLE SPEie RS E-SPEie 0.5-12-5V-0.6A-RS 1.0 revision Protection environnement Datasheet édition française

fullprotect inside EOLE SPEie RS E-SPEie 0.5-12-5V-0.6A-RS 1.0 revision Protection environnement Datasheet édition française Protection environnement Datasheet édition française 1.0 revision R-D-CO-D-27112011-1.0-C fullprotect inside SPEi Protection environnement interne SPEe Protection environnement externe SPEc Contrôle de

Plus en détail

MANUEL D UTILISATION TERMINAL PHL 2700

MANUEL D UTILISATION TERMINAL PHL 2700 MANUEL D UTILISATION TERMINAL PHL 2700 XL Soft - 16 Bd Charles de Gaulle Parc d'affaires les Moulinets- Bât C 44800 SAINT HERBLAIN Tel.: 02 51 80 77 88 Fax: 02 51 80 77 87 www.xlsoft.fr SOMMAIRE 1) Présentation

Plus en détail

NOTICE D UTILISATION FACILE

NOTICE D UTILISATION FACILE NS-555100-FACILE-1312 NOTICE D UTILISATION FACILE 1 Sommaire Recommandations de sécurité... 3 Composition du kit... 4 Description du système...6 et 7 Branchement de la caméra...8 et 9 Chapître 1 : Utilisation

Plus en détail

Architecture des ordinateurs Introduction à l informatique

Architecture des ordinateurs Introduction à l informatique Architecture des ordinateurs Introduction à l informatique 17 septembre 2004 1 2 3 4 5 6 Les interrupteurs... 0V 5V Ce sont des composants électroniques qui laissent pser un courant principal lorsque la

Plus en détail

MANUEL D UTILISATION DE LA SALLE DES MARCHES APPEL D OFFRES OUVERT ACCES ENTREPRISES. Version 8.2

MANUEL D UTILISATION DE LA SALLE DES MARCHES APPEL D OFFRES OUVERT ACCES ENTREPRISES. Version 8.2 MANUEL D UTILISATION DE LA SALLE DES MARCHES APPEL D OFFRES OUVERT ACCES ENTREPRISES Version 8.2 Vous allez utiliser les services en ligne de la plate forme de dématérialisation de la Salle des Marchés

Plus en détail

NOTICE SIMPLIFIEE ER-A280F. I Initialisation avec Remise à Zéro de la caisse : ENTER PASSWORD ER-A280V. Ver1.02

NOTICE SIMPLIFIEE ER-A280F. I Initialisation avec Remise à Zéro de la caisse : ENTER PASSWORD ER-A280V. Ver1.02 NOTICE SIMPLIFIEE ER-A280F I Initialisation avec Remise à Zéro de la caisse : A faire absolument au déballage de la caisse avant de commencer à programmer. Cette étape ne nécessite pas la mise en place

Plus en détail

Assembleur i8086. Philippe Preux IUT Informatique du Littoral. Année universitaire 95 96

Assembleur i8086. Philippe Preux IUT Informatique du Littoral. Année universitaire 95 96 Assembleur i8086 Philippe Preux IUT Informatique du Littoral Année universitaire 95 96 1 Avertissement Ce document décrit le langage d assemblage étudié et utilisé dans le cadre des TP d architecture.

Plus en détail

COMMUTEL PRO VM3 INTERFACE GSM VOIX POUR EMULATION DE LIGNE RTC ET TRANSMETTEUR DE SMS D ALERTES ET TECHNIQUES.

COMMUTEL PRO VM3 INTERFACE GSM VOIX POUR EMULATION DE LIGNE RTC ET TRANSMETTEUR DE SMS D ALERTES ET TECHNIQUES. COMMUTEL PRO VM3 INTERFACE GSM VOIX POUR EMULATION DE LIGNE RTC ET TRANSMETTEUR DE SMS D ALERTES ET TECHNIQUES. 1. DESCRIPTION DU PRODUIT COMMUTEL PRO VM3 est un Secours GSM Canal Voix et un transmetteur

Plus en détail

Notice d installation de la Centrale VIGIK DGM1

Notice d installation de la Centrale VIGIK DGM1 Notice d installation de la Centrale VIGIK DGM1 Version 3.5 NOTICE DE MONTAGE ET D UTILISATION N homologation : PS 200306-01 MS 200306-02 Informations préliminaires Présentation des possibilités de la

Plus en détail

Documentation Technique du programme HYDRONDE_LN

Documentation Technique du programme HYDRONDE_LN Documentation Technique du programme HYDRONDE_LN Réalisation du programme H.GUYARD Réalisation du matériel électronique C.COULAUD & B.MERCIER Le programme HYDRONDE_LN est un programme qui permet de visualiser

Plus en détail

Programmation C. Apprendre à développer des programmes simples dans le langage C

Programmation C. Apprendre à développer des programmes simples dans le langage C Programmation C Apprendre à développer des programmes simples dans le langage C Notes de cours sont disponibles sur http://astro.u-strasbg.fr/scyon/stusm (attention les majuscules sont importantes) Modalités

Plus en détail

Logiciels DSPiy. DSPiyStudio logiciels et format des fichiers. incomplet

Logiciels DSPiy. DSPiyStudio logiciels et format des fichiers. incomplet Logiciels DSPiy DSPiyStudio logiciels et format des fichiers incomplet 1. Organisation et nommage 2. Création d'une Application DSPiy 3. Modification d'une Application 4. Fonctionnement de DSPiyStudio

Plus en détail

Manipulations du laboratoire

Manipulations du laboratoire Manipulations du laboratoire 1 Matériel Les manipulations de ce laboratoire sont réalisées sur une carte électronique comprenant un compteur 4-bit asynchrone (74LS93) avec possibilité de déclenchement

Plus en détail

ProCod. Manuel d utilisation. Software de programmation pour codeurs absolus TWK modèles CRF et DAF CRF 11069 DF 08 / 10

ProCod. Manuel d utilisation. Software de programmation pour codeurs absolus TWK modèles CRF et DAF CRF 11069 DF 08 / 10 Software de programmation pour codeurs absolus TWK modèles CRF et DAF CRF 11069 DF 08 / 10 Manuel d utilisation ProCod TWK France 13-15, avenue de Stalingrad 93170 BAGNOLET T. 01 43 62 00 05 F. 01 43 63

Plus en détail

Enregistreur de Température pour PC DALLAS 1820

Enregistreur de Température pour PC DALLAS 1820 Conrad sur INTERNET www.conrad.fr * Capteur à visser, M10, 5 m de câble DS 1820-HD-5M Capteur à visser, M10, longueur spéciale DS 1820-HD-SL Capteur à visser, G1/8, 2m de câble DS 1820-G18-2M Capteur à

Plus en détail

Éléments d informatique Cours 3 La programmation structurée en langage C L instruction de contrôle if

Éléments d informatique Cours 3 La programmation structurée en langage C L instruction de contrôle if Éléments d informatique Cours 3 La programmation structurée en langage C L instruction de contrôle if Pierre Boudes 28 septembre 2011 This work is licensed under the Creative Commons Attribution-NonCommercial-ShareAlike

Plus en détail

Réalisation d un réseau de capteurs de température sans fil basé sur le protocole ZigBee

Réalisation d un réseau de capteurs de température sans fil basé sur le protocole ZigBee Cedric BEAUSSE Mohamed BOUGUERRA Hong Yu GUAN El Ayachi MOKTAD Projet avancé en systèmes embarqués 2006 / 2007 Réalisation d un réseau de capteurs de température sans fil basé sur le protocole ZigBee Sommaire

Plus en détail