Introduction à Quartus II et ModelSim

Dimension: px
Commencer à balayer dès la page:

Download "Introduction à Quartus II et ModelSim"

Transcription

1 Introduction à Quartus II et ModelSim 1 Introduction Ce Laboratoire a pour but de vous initier aux logiciels Quartus II et ModelSim, après avoir suivi celui-ci, vous serez capable de modéliser un schéma logique avec Quartus II et de le simuler à l aide de ModelSim. Avant toute chose, nous allons nous assurer que votre disque réseau MyFiles a correctement été connecté, pour ce faire veuillez suivre le tutoriel sur Nous allons organiser notre laboratoire dans un répertoire lui étant destiné, voici la hiérarchie des dossiers que vous allez devoir créer dans un répertoire, disons Z :/lab01 : Z :/lab01/ quartus/ modelsim/ Dans ce laboratoire, nous allons voir la création et la simulation d un additionneur complet. Cependant, vous n aurez pas à vous soucier de la théorie dans ce laboratoire, toute la synthèse a été faite pour vous et sera vue durant les cours. 2 Quartus II 12.0sp2 Altera Quartus II 1 est un logiciel de conception permettant de faire l analyse et la synthèse de systèmes numériques. Bref aperçu de l interface de Quartus II 1. Liste des fichiers contenus dans le projet 2. Tâches en cours (progression de la compilation) 3. Messages lors de la compilation 4. Bar d outils principale FIGURE 1 Quartus II 1. Ce logiciel est gratuit dans sa version Web Edition sur EPFL - IC - Version sur 8

2 2.1 Création d un projet Il est possible de créer un nouveau projet de deux manières : À l ouverture du logiciel, en cliquant sur Create new project de la fenêtre Getting Started. En passant par le menu : File New... New Quartus II Project. FIGURE 2 Quartus II - Getting Started Une fois l assistant de création de projet ouvert, entrez les informations suivantes : 1. Directory, Name, Top-Level Entity Working Directory : Z :/lab01/quartus/ Project Name : lab01 Top-Level Entity : lab01 (Celle-ci pourra être modifiée dans les préférences du projet) 2. Add Files Ajouter des fichiers pré-existants au projet. Pour ce laboratoire, il n y en a pas. 3. Family & Device Settings Family : Cyclone IV E Device : EP4CE22F17C6 4. EDA Tool Settings Design Entry/Synthesis : Precision Synthesis Simulation : ModelSim-Altera VHDL 5. Summary Etape qui résume la configuration du projet. 2.2 Création d un schéma Quartus II propose plusieurs méthodes de conception. Durant ce semestre, nous allons nous concentrer sur la conception à l aide de schémas à l aide du Block Editor. A partir du prochain semestre nous verrons comment modéliser ces schémas à l aide du langage VHDL. Pour ce faire, allez dans File New... Block Diagram / Schematic File. Si vous voulez travailler en plein écran, cliquez sur Bibliothèque (Detach Window) pour extraire la fenêtre. Pour insérer des composants, ouvrez la bibliothèque à l aide du bouton (Symbol), la fenêtre de la figure 3 permet d insérer des composants qui pourront être relié entre-eux pour former un schéma. Entrez or2 dans le champs name, ceci aura pour effet de vous afficher une porte logique OU à deux entrées dans le cadre de droite. Cliquez sur OK puis cliquez dans l éditeur de bloc pour placer le composant à l intérieur de celui-ci. EPFL - IC - Version sur 8

3 FIGURE 3 Symbol Connections entre composants Pour connecter deux composants entre eux, il suffit d aller sur l une des pins du composant. C est alors que le curseur se transformera en croix, ce qui signifie que vous pouvez interconnecter les composants entre eux. Créez le diagramme comme présenté sur la figure 4 en effectuant les étapes suivantes : FIGURE 4 Block Editor (FullAdder) 1. Ajoutez les portes logiques suivantes : Deux portes ET à deux entrées AND2 Deux portes OU-Exclusif XOR Une portes OU à deux entrées OR2 2. Ajoutez trois entrées Input 3. Ajoutez deux sorties Output 4. Renommez les entrées/sorties. 5. Reliez les composants. Pour finir, enregistrez le schéma dans le répertoire du projet sous le nom FullAdder.bdf. 2.3 Création d un composant A l instar de la programmation orienté objet, il est utile de pouvoir créer de nouveaux composants afin de les réutiliser de façon modulaire. Il est maintenant question de créer notre composant à partir du schéma crée précédemment. Pour ce faire, File Create / Update Create Symbol Files for Current File, enregistrez le fichier sous le nom de FullAdder.bsf dans le répertoire du projet. EPFL - IC - Version sur 8

4 Notre composant sera automatiquement ajouté à la bibliothèque. Nous allons en avoir besoin pour finir la création de notre schéma principal. Pour ce faire, créez un nouveau schéma comme vu à la section 2.2 et enregistrezle sous la nom Lab01.bdf, puis ajoutez-y le composant FullAdder qui se trouve dans la bibliothèque comme le montre la figure 5. FIGURE 5 Symbol with Lab01 Il est possible de modifier la représentation d un composant à l aide du Symbol Editor, Faites un clic droit sur le composant et sélectionnez Edit Selected Symbol. Après avoir enregistrez vos modifications, faites un clic droit dans le Block Editor et sélectionnez Update Symbol or Block, ce qui aura pour effet de mettre à jour la représentation de tous les composants. Pour finir, ajoutez trois entrées et deux sorties, renommez-les et reliez-les comme sur le schéma 6. FIGURE 6 Block & Symbol Editor 2.4 Compilation du projet Une fois les schémas créé, il ne nous reste plus qu à compiler le projet afin de le synthétiser. Pour ce faire, cliquez sur (Start Compilation), une fenêtre de rapport s ouvrira. En cas d erreurs, Quartus II vous les affichera dans la zone Messages. EPFL - IC - Version sur 8

5 3 ModelSim 6.6d Altera ModelSim 2 est un logiciel de simulation de document de description du matériel (HDL). Bref aperçu de l interface Pour avoir la même interface que sur l image ci-dessous, il vous faut tout d abord passer l interface en mode simulation (Layout Simulate) et ensuite ouvrir le cadre des signaux (View Wave). 1. Library : Liste des librairies pouvant être utilisées par notre projet Project : Liste des fichiers faisant partie du projet ModelSim 2. Objets pouvant être simulés 3. Processus (liés à VHDL, cette partie sera vue au prochain semestre) 4. Signaux de la simulation en cours 5. Console permettant de contrôler la simulation en cours FIGURE 7 ModelSim 3.1 Lancement de la simulation depuis Quartus II Pour effectuer la simulation sous ModelSim, il nous faut pour cela ouvrir ModelSim. Quartus propose un raccourci qui nous permet d ouvrir ModelSim ainsi que de compiler le projet sous ModelSim. Sous Quartus II, allez dans Tools Run Simulation Tool Gate Level Simulation..., il faut ensuite prendre le modèle "Slow Model" et cliquez sur run, qui aura pour effet d ouvrir ModelSim et de compiler le projet. FIGURE 8 EDA Gate Level Simulation Toutefois, il est possible d effectuer ces étapes à la main, veuillez lire la section Ce logiciel est gratuit dans sa version Starter Edition sur Comme pour Quartus II, prenez la version 10.1sp1 - sinon elle ne sera pas compatible avec Quartus II. EPFL - IC - Version sur 8

6 3.2 Simulation Cliquez sur (Simulate) et choisissez le projet en cours work lab01. (voir figure 9) FIGURE 9 Start Simulation Ajout des objets à la liste des signaux visibles Lorsque la simulation est lancée, tous les signaux faisant parti du projet se retrouvent dans le cadre Objects, pour les ajouter à la liste des signaux visibles, sélectionnez-les et glissez-les à l intérieur du cadre Wave. Il est possible de modifier la base d affichage (binaire, décimal, hexadécimal,...) à l aide de la fenêtre de dialogue Objects Global Signal Radix Configuration des signaux en entrée Pour configurer les signaux, il faut leur indiquer quel sera leurs comportement lors de la simulation. Ceci s effectue dans la console à l aide de la commande : 1 force <object > <state0 > <time0 > [,... [, <staten > <timen >]] [-repeat p] Listing 1 Commande de simulation object : Signal à définir state : Etat sous forme binaire (0 ou 1) ou hexadecimal (16#1, 16#2,..., 16#f,... ) time : Temps auquel l état sera activé -repeat : Permet de définir un signal périodique de période p Sans unité, les temps sont en picoseconde (ps), il est possible d ajouter une unité picoseconde (ps), nanoseconde (ns) ou milliseconde (ms). C est au moment de la simulation (commande run) que le signal affichera prendra ces valeurs. Par exemple, si on veut que le signal a effectue les variations de la figure 10 et que l on veuille répéter ces variations toutes les 40ps, il faudra écrire la commande suivante : 1 force a 0 0, 1 10, 0 20, 1 25, 0 30, repeat 40 Listing 2 Commande de simulation EPFL - IC - Version sur 8

7 a 1 period FIGURE 10 Chronogramme de a Simuler Il faut maintenant lancer la commande run n qui va lancer la simulation pendant un temps n Script de simulation Il est possible de configurer et lancer la simulation à l aide d un script. Pour ce faire il suffit d écrire les commandes dans un fichier texte qui se trouvera à la base du répertoire du projet et d exécuter la commande : 1 do ScriptFileName Listing 3 Exécution du script de simulation Il faut que le script soit dans le même dossier que ModelSim, exécutez la commande pwd dans ModelSim afin de voir dans quel dossier vous êtes Simulation de l additionneur complet Dans notre simulation, le but est de tester toutes les valeurs possible pour a, b et c_in, nous allons donc utiliser les commandes suivante : 1 force a 0 0, repeat 40 2 force b 0 0, repeat 80 3 force c_in 0 0, repeat run 160 Listing 4 Script de simulation FIGURE 11 Simulation EPFL - IC - Version sur 8

8 3.3 Utilisation de ModelSim sans passer par Quartus Création d un projet Pour créer un projet : File New Project... Entrez les informations concernant le projet en cours et placez-le dans le répertoire Z :/lab01/modelsim/ créé en début de laboratoire. Une fois le projet créé, une fenêtre vous propose d ajouter ou créer un fichier au projet, il faut cliquer sur Add Existing File pour y ajouter le fichier qui a été créé lors de la compilation par Quartus II. Prenez le document Z :/lab01/quartus/simulation/modelsim/lab01.vho et sélectionnez la case Copy to project directory pour effectuer une copie dans le répertoire du projet. FIGURE 12 Create Project - Add Items & File Compilation ModelSim n utilisant pas Quartus II, il va devoir lui aussi compiler le projet. Pour cela, cliquez sur le bouton (Compile All) qui aura pour effet de compiler tous les documents HDL. Si la compilation a réussi, un message sera affiché dans la console. Vous pouvez alors passer à la partie simulation comme décrit à la section 3.2. EPFL - IC - Version sur 8

Introduction à Eclipse

Introduction à Eclipse Introduction à Eclipse Eclipse IDE est un environnement de développement intégré libre (le terme Eclipse désigne également le projet correspondant, lancé par IBM) extensible, universel et polyvalent, permettant

Plus en détail

Utilisation de GCM (Google Cloud Messaging) pour Android. Partie préliminaire éventuelle : Création d'un AVD lisant GCM

Utilisation de GCM (Google Cloud Messaging) pour Android. Partie préliminaire éventuelle : Création d'un AVD lisant GCM Utilisation de GCM (Google Cloud Messaging) pour Android Ce TP est inspiré de : http://android.amolgupta.in/2012/07/google-cloud-messaging-gcm-tutorial.html On va écrire deux parties. Une application Android

Plus en détail

SIN-FPGA DESCRIPTION PAR SCHEMA

SIN-FPGA DESCRIPTION PAR SCHEMA SIN-FPGA DESCRIPTION PAR SCHEMA Documents ressources: http://www.altera.com/literature/lit-index.html Introduction to Quartus II : intro_to_quartus2.pdf Documentation QUARTUS II : quartusii_handbook.pdf

Plus en détail

Guide d installation de MySQL

Guide d installation de MySQL INF 1250 Introduction aux bases de données Guide d installation de MySQL 1 Introduction Ce guide vous indique la façon d installer et d utiliser le logiciel MySQL de Oracle (disponible en licence GPL).

Plus en détail

CSI351 Systèmes d exploitation Instructions pour rouler Linux avec Virtual PC dans la salle de labo 2052

CSI351 Systèmes d exploitation Instructions pour rouler Linux avec Virtual PC dans la salle de labo 2052 CSI351 Systèmes d exploitation Instructions pour rouler Linux avec Virtual PC dans la salle de labo 2052 Une machine virtuelle Linux, appelé SiteDev (version 9 de Redhat) peut être roulé avec Virtual PC

Plus en détail

Le langage C. Séance n 4

Le langage C. Séance n 4 Université Paris-Sud 11 Institut de Formation des Ingénieurs Remise à niveau INFORMATIQUE Année 2007-2008 Travaux pratiques d informatique Le langage C Séance n 4 But : Vous devez maîtriser à la fin de

Plus en détail

Guide d installation CLX.PayMaker Office (3PC)

Guide d installation CLX.PayMaker Office (3PC) Guide d installation CLX.PayMaker Office (3PC) Sommaire 1. Installation et reprise des données... 2 2. Premiers pas établir une connexion au compte bancaire et recevoir des informations de compte... 4

Plus en détail

wxwidgets dans un environnement Microsoft Windows

wxwidgets dans un environnement Microsoft Windows 1/18 wxwidgets dans un environnement Microsoft Windows Ce document explique les démarches à suivre pour pouvoir utiliser «wxwidgets» à travers un environnement de développement. Normalement c est ce que

Plus en détail

DEVAKI NEXTOBJET PRESENTATION. Devaki Nextobjects est un projet sous license GNU/Public.

DEVAKI NEXTOBJET PRESENTATION. Devaki Nextobjects est un projet sous license GNU/Public. DEVAKI NEXTOBJET 1 Présentation...2 Installation...3 Prérequis...3 Windows...3 Linux...3 Exécution...4 Concevoir une BDD avec Devaki NextObject...5 Nouveau MCD...5 Configurer la connexion à la base de

Plus en détail

Initiation à Mendeley AUT2012

Initiation à Mendeley AUT2012 0. Trouver Mendeley... 2 1. Ouverture de l application Mendeley... 2 2. Saisie manuelle d une référence... 2 3. Inscrire une note liée à la référence... 2 4. Attacher un fichier à la référence... 2 5.

Plus en détail

Installer Enterprise Miner 5.1 en SAS9.1.3 - environnement Windows

Installer Enterprise Miner 5.1 en SAS9.1.3 - environnement Windows Installer Enterprise Miner 5.1 en SAS9.1.3 - environnement Windows Introduction :... 3 1. Présentation de l architecture Enterprise Miner 5.1 :... 4 2. Installation d Enterprise Miner 5.1:... 5 3. Post-installation

Plus en détail

TUTORIEL D INSTALLATION D ORACLE ET DE SQL DEVELOPPER TUTORIEL D INSTALLATION D ORACLE...1 ET DE SQL DEVELOPPER...1

TUTORIEL D INSTALLATION D ORACLE ET DE SQL DEVELOPPER TUTORIEL D INSTALLATION D ORACLE...1 ET DE SQL DEVELOPPER...1 TUTORIEL D INSTALLATION D ORACLE ET DE SQL DEVELOPPER Sur Windows Contenu TUTORIEL D INSTALLATION D ORACLE...1 ET DE SQL DEVELOPPER...1 I-Installation d «Oracle Database Express Edition»...2 Etape 1 :

Plus en détail

Espace pro. Installation des composants avec Firefox. Pour. Windows XP Vista en 32 et 64 bits Windows 7 en 32 et 64 bits

Espace pro. Installation des composants avec Firefox. Pour. Windows XP Vista en 32 et 64 bits Windows 7 en 32 et 64 bits Espace pro Installation des composants avec Firefox Pour Windows XP Vista en 32 et 64 bits Windows 7 en 32 et 64 bits Version 2.0.3 1 Sommaire 1. Installation du composant de lecture de la carte Vitale

Plus en détail

IFT287 Exploitation de base de données relationnelles et orientées objet. Laboratoire Mon premier programme Java en Eclipse

IFT287 Exploitation de base de données relationnelles et orientées objet. Laboratoire Mon premier programme Java en Eclipse IFT287 Exploitation de base de données relationnelles et orientées objet Laboratoire Mon premier programme Java en Eclipse Comment faire? Nous allons utiliser l environnement de travail proposé par Eclipse

Plus en détail

Tutorial Cadence Virtuoso

Tutorial Cadence Virtuoso Tutorial Cadence Virtuoso (Les premiers pas) Cadence Virtuoso IC6.1.500.3 Design Kit AustriaMicroSystems (AMS) HIT-Kit 4.00 Process : c35b4c3 (0.35µm CMOS 4 métaux) Table des matières Login et ouverture

Plus en détail

ENDNOTE X2 SOMMAIRE. 1. La bibliothèque EndNote 1.1. Créer une nouvelle bibliothèque 1.2. Ouvrir une bibliothèque EndNote 1.3. Fermer une bibliothèque

ENDNOTE X2 SOMMAIRE. 1. La bibliothèque EndNote 1.1. Créer une nouvelle bibliothèque 1.2. Ouvrir une bibliothèque EndNote 1.3. Fermer une bibliothèque 1 ENDNOTE X2 SOMMAIRE 1. La bibliothèque EndNote 1.1. Créer une nouvelle bibliothèque 1.2. Ouvrir une bibliothèque EndNote 1.3. Fermer une bibliothèque 2. Manipuler une bibliothèque EndNote 2.1. La saisie

Plus en détail

Comment installer le gestionnaire de licence avec une nouvelle version de Arche / Effel / Melody?

Comment installer le gestionnaire de licence avec une nouvelle version de Arche / Effel / Melody? Comment installer le gestionnaire de licence avec une nouvelle version de Arche / Effel / Melody? Cette question ne concerne que les utilisateurs des versions réseaux des logiciels. Nous vous invitons

Plus en détail

Parrot 3200LS-Color 3400LS-GPS - - - - - -

Parrot 3200LS-Color 3400LS-GPS - - - - - - - - - - - - Procédure de mise à jour soft Parrot 3200LS-Color 3400LS-GPS - - - - - - Ce document vous guidera pour la mise à jour de votre kit mains libres par Bluetooth. Une mise à jour est nécessaire

Plus en détail

BIRT (Business Intelligence and Reporting Tools)

BIRT (Business Intelligence and Reporting Tools) BIRT (Business Intelligence and Reporting Tools) Introduction Cette publication a pour objectif de présenter l outil de reporting BIRT, dans le cadre de l unité de valeur «Data Warehouse et Outils Décisionnels»

Plus en détail

Votre premier projet Android

Votre premier projet Android 3 Votre premier projet Android Maintenant que le SDK Android est installé, il est temps de créer votre premier projet. La bonne nouvelle est qu il n exige aucune ligne de code les outils Android créent

Plus en détail

ALLIANZ MODE OPERATOIRE DE MIGRATION D UNE AGENCE WINDOWS 7. 29 Août 2014. Version du document : 010

ALLIANZ MODE OPERATOIRE DE MIGRATION D UNE AGENCE WINDOWS 7. 29 Août 2014. Version du document : 010 ALLIANZ MODE OPERATOIRE DE MIGRATION D UNE AGENCE WINDOWS 7 29 Août 2014 Version du document : 010 0/31 Version du document : 010 29 Août 2014 HISTORIQUE DES EVOLUTIONS Version date paragraphe action nature

Plus en détail

COURS AUTOCAD. Création et utilisation des blocs. b leclerc. ERP Jean Moulin METZ

COURS AUTOCAD. Création et utilisation des blocs. b leclerc. ERP Jean Moulin METZ COURS AUTOCAD Création et utilisation des blocs QU EST-CE QU UN BLOC? C est un élément de dessin, auquel peut être associé du texte (des attributs ). Un bloc constitue un élément de bibliothèque. Il peut

Plus en détail

TD/TP 1 Introduction au SDK d Android

TD/TP 1 Introduction au SDK d Android TD/TP 1 Introduction au SDK d Android Romain Raveaux 1 Introduction Android est un système d'exploitation pour téléphone portable de nouvelle génération développé par Google. Celui-ci met à disposition

Plus en détail

Sélection du contrôleur

Sélection du contrôleur Démo CoDeSys - 1 - 1. Configuration de l environnement de travail : Lancer le logiciel CoDeSys Fichier Nouveau Lors de la première utilisation, une boîte de dialogue apparaît permettant la sélection du

Plus en détail

Guide de l utilisateur Faronics System Profiler Standard

Guide de l utilisateur Faronics System Profiler Standard 1 2 Derniere modification : Mai 2009 1999-2009 Faronics Corporation. Tous droits reserves. Faronics, Deep Freeze, Faronics Core Console, Faronics Anti-Executable, Faronics Device Filter, Faronics Power

Plus en détail

Importation de fichiers Eagle

Importation de fichiers Eagle Importation de fichiers Eagle 2 Mention de réserve sur les droits d'auteur Les droits d auteur rattachés à tout ou partie des présents logiciel et manuel appartiennent à RS Components et ne peuvent être

Plus en détail

Quoi de neuf en LabVIEW FPGA 2010?

Quoi de neuf en LabVIEW FPGA 2010? Quoi de neuf en LabVIEW FPGA 2010? Yannick DEGLA Ingénieur d Application Fonctionnalités de LabVIEW FPGA 2010 Nœud d intégration d IP - Importer directement des fichiers.xco de Xilinx ou vos propres VHDL

Plus en détail

Préparation à l installation d Active Directory

Préparation à l installation d Active Directory Laboratoire 03 Étape 1 : Installation d Active Directory et du service DNS Noter que vous ne pourrez pas réaliser ce laboratoire sans avoir fait le précédent laboratoire. Avant de commencer, le professeur

Plus en détail

PAGE 1. L écran du logiciel d Open Office Draw. Barre de menu: Les commandes du logiciel

PAGE 1. L écran du logiciel d Open Office Draw. Barre de menu: Les commandes du logiciel PAGE L écran du logiciel d Open Office Draw Nom du document : Nom d enregistrement Barre de mise forme: Ligne, couleurs, fond,... Barre de menu: Les commandes du logiciel Barre d outils: Les boutons de

Plus en détail

Universal Robots. Fiche Méthode : Installation du simulateur Polyscope

Universal Robots. Fiche Méthode : Installation du simulateur Polyscope Objectif : Installer le simulateur Polyscope sur un ordinateur Windows. Une connexion internet est requise pour cette installation. Méthode : Téléchargez le fichier Ubuntu.zip sur notre site puis décompressez

Plus en détail

AVEC LIVE TRADER, VISEZ PLUS HAUT POUR VOS INVESTISSEMENTS

AVEC LIVE TRADER, VISEZ PLUS HAUT POUR VOS INVESTISSEMENTS AVEC LIVE TRADER, VISEZ PLUS HAUT POUR VOS INVESTISSEMENTS Prêt à maîtriser LIVE TRADER? Découvrez les principales fonctionnalités de cet outil. Découvrir l espace de travail Organiser les modules Rechercher

Plus en détail

Utilisation de l outil lié à MBKSTR 9

Utilisation de l outil lié à MBKSTR 9 Utilisation de l outil lié à MBKSTR 9 Avec MBKSTR, vous disposez d un outil fonctionnant sous Excel (version 97 au minimum) et permettant de faire les études financières suivantes : Aide à la vente avec

Plus en détail

Network Shutdown Module V3 Extension du Manuel Utilisateur pour architecture Virtualisée VMWare ESX Server 3, 3.5

Network Shutdown Module V3 Extension du Manuel Utilisateur pour architecture Virtualisée VMWare ESX Server 3, 3.5 Network Shutdown Module V3 Extension du Manuel Utilisateur pour architecture Virtualisée VMWare ESX Server 3, 3.5 Machine virtuelle Machine virtuelle Machine virtuelle VMware ESX Network Shutdown Module

Plus en détail

Gestion des sauvegardes

Gestion des sauvegardes Gestion des sauvegardes Penser qu un système nouvellement mis en place ou qui tourne depuis longtemps ne nécessite aucune attention est illusoire. En effet, nul ne peut se prémunir d événements inattendus

Plus en détail

Installation et utilisation de Cobian Backup 8

Installation et utilisation de Cobian Backup 8 Installation et utilisation de Cobian Backup 8 Dernière mise à jour le 25/09/2007 Version utilisée : 8.4.0.198 Version du document : 1.0 Page 1 sur 12 SOMMAIRE 1. Téléchargement 2. Installation 3. Mise

Plus en détail

NAS 206 Utiliser le NAS avec Windows Active Directory

NAS 206 Utiliser le NAS avec Windows Active Directory NAS 206 Utiliser le NAS avec Windows Active Directory Connecter votre NAS à un domaine Windows Active Directory C O L L E G E A S U S T O R OBJECTIFS DU COURS À la fin de ce cours, vous devriez : 1. Avoir

Plus en détail

Chaque ordinateur est constitué de différentes unités de stockage de données (Disque dur, Graveur ) que l on peut imaginer comme de grandes armoires.

Chaque ordinateur est constitué de différentes unités de stockage de données (Disque dur, Graveur ) que l on peut imaginer comme de grandes armoires. Chaque ordinateur est constitué de différentes unités de stockage de données (Disque dur, Graveur ) que l on peut imaginer comme de grandes armoires. Il est important de savoir les identifier, de connaître

Plus en détail

Déploiement de SAS 9.1.3 Foundation

Déploiement de SAS 9.1.3 Foundation Déploiement de SAS 9.1.3 Foundation I. Installation de SAS sur des postes en local à partir de Cédéroms 3 II. Phase de préparation au déploiement : Création des images disque 6 a) Pour une installation

Plus en détail

La base de données dans ArtemiS SUITE

La base de données dans ArtemiS SUITE 08/14 Vous préférez passer votre temps à analyser vos données plutôt qu à chercher un fichier? La base de données d ArtemiS SUITE vous permet d administrer et d organiser confortablement vos données et

Plus en détail

Signature électronique sécurisée. Manuel d installation

Signature électronique sécurisée. Manuel d installation Signature électronique sécurisée Manuel d installation POINTS IMPORTANTS Dès réception de votre Ikey, un code PIN (Numéro d Identification Personnel) vous est attribué de manière aléatoire. Ce code PIN

Plus en détail

Installation et compilation de gnurbs sous Windows

Installation et compilation de gnurbs sous Windows Installation et compilation de gnurbs sous Windows Installation de l environnement de développement Code::Blocks (Environnement de développement) 1. Télécharger l installateur de Code::Blocks (version

Plus en détail

Table des matières. 1. Installation de VMware ESXI 4... 3. 1.1. Pré-requis... 3. 1.2. Installation... 3

Table des matières. 1. Installation de VMware ESXI 4... 3. 1.1. Pré-requis... 3. 1.2. Installation... 3 Table des matières 1. Installation de VMware ESXI 4.... 3 1.1. Pré-requis... 3 1.2. Installation... 3 1.2.1. Panneau de configuration du serveur ESXI... 4 1.2.2. Configuration du mot de passe «Root»....

Plus en détail

Guide de démarrage rapide. (pour la version 5.0.)

Guide de démarrage rapide. (pour la version 5.0.) Guide de démarrage rapide (pour la version 5.0.) 2 Table des matières Introduction Réglages de l application MyTalk Mobile 1. MODIFICATION 2. DEMARRER 3. AFFICHER 4. SYNTHETISEUR VOCAL 5. NOMBRE DE MOTS

Plus en détail

Mise en route et support Envision 10 SQL server (Avril 2015) A l'intention de l'administrateur SQL Server et de l administrateur Envision

Mise en route et support Envision 10 SQL server (Avril 2015) A l'intention de l'administrateur SQL Server et de l administrateur Envision Page 1 de 7 Mise en route et support Envision 10 SQL server (Avril 2015) A l'intention de l'administrateur SQL Server et de l administrateur Envision Table des matières Table des matières... 1 1. Premier

Plus en détail

Modélisation et Gestion des bases de données avec mysql workbench

Modélisation et Gestion des bases de données avec mysql workbench Modélisation et Gestion des bases de données avec mysql workbench par novembre 2011 Table des matières 1 Installation 3 1.1 Ecran de chargement 3 1.2 Page d accueil 3 2 Réalisation d une base de données

Plus en détail

MODE D EMPLOI OUTLOOK ADD-IN POUR SELECTLINE

MODE D EMPLOI OUTLOOK ADD-IN POUR SELECTLINE MODE D EMPLOI OUTLOOK ADD-IN POUR SELECTLINE IOWARE SA WARPELSTRASSE 10 3186 DÜDINGEN +41 26 492 90 30 WWW.IOWARE.SA INFO@IOWARE.CH MODE D EMPLOI MODULE ADD IN (pour Outlook) L Add In est un programme

Plus en détail

Projet audio. Analyse des Signaux ELE2700

Projet audio. Analyse des Signaux ELE2700 ÉCOLE POLYTECHNIQUE DE MONTRÉAL Département de Génie Électrique Projet audio Analyse des Signaux ELE2700 Saad Chidami - 2014 Table des matières Objectif du laboratoire... 4 Caractérisation du bruit...

Plus en détail

WinTask x64 Le Planificateur de tâches sous Windows 7 64 bits, Windows 8/8.1 64 bits, Windows 2008 R2 et Windows 2012 64 bits

WinTask x64 Le Planificateur de tâches sous Windows 7 64 bits, Windows 8/8.1 64 bits, Windows 2008 R2 et Windows 2012 64 bits WinTask x64 Le Planificateur de tâches sous Windows 7 64 bits, Windows 8/8.1 64 bits, Windows 2008 R2 et Windows 2012 64 bits Manuel d initiation du Planificateur 2 INTRODUCTION 5 CHAPITRE I : INSTALLATION

Plus en détail

USTL - Licence ST-A 1ère année 2005-2006 Codage de l information TP 1 :

USTL - Licence ST-A 1ère année 2005-2006 Codage de l information TP 1 : USTL - Licence ST-A 1ère année 2005-2006 Codage de l information TP 1 : Objectifs du TP Ce TP a pour but 1. de découvrir quelques opérations logiques sur les nombres 2. et quelques formats de fichiers.

Plus en détail

FORMATION MULTIMÉDIA LVE

FORMATION MULTIMÉDIA LVE Windows Live Movie Maker est un logiciel de montage vidéo conçu pour les débutants. Il permet de créer, de modifier et de partager des séquences vidéo sur le web, par courrier électronique ou sur un CD/DVD.

Plus en détail

Network Shutdown Module V3 Extension du Manuel Utilisateur pour architecture Virtualisée VMWare ESX Server

Network Shutdown Module V3 Extension du Manuel Utilisateur pour architecture Virtualisée VMWare ESX Server Network Shutdown Module V3 Extension du Manuel Utilisateur pour architecture Virtualisée VMWare ESX Server Machine virtuelle Machine virtuelle Machine virtuelle VMware ESX 3 Network Shutdown Module Network

Plus en détail

Storebox User Guide. Swisscom (Suisse) SA

Storebox User Guide. Swisscom (Suisse) SA Storebox User Guide Swisscom (Suisse) SA Table des matières. Généralités/Configuration 3. Qu'est-ce que Storebox? 4. Structure de dossier 5.3 Connexion au portail de l'équipe 6.4 Déconnexion du portail

Plus en détail

Comment changer le mot de passe NT pour les comptes de service Exchange et Unity

Comment changer le mot de passe NT pour les comptes de service Exchange et Unity Comment changer le mot de passe NT pour les comptes de service Exchange et Unity Contenu Introduction Conditions préalables Conditions requises Composants utilisés Conventions Changez le mot de passe dans

Plus en détail

HDDtoGO. Guide de l'utilisateur

HDDtoGO. Guide de l'utilisateur HDDtoGO Guide de l'utilisateur Guide de l'utilisateur version 3.4 2004-2010 CoSoSys SRL 2010 A-DATA Technology Co., Ltd. HDDtoGO Guide de l utilisateur Table des matières Table des matières...1 1. Introduction...3

Plus en détail

But du papier : Paramétrer WSUS pour récupérer les mises à jour et administrer le serveur WSUS

But du papier : Paramétrer WSUS pour récupérer les mises à jour et administrer le serveur WSUS Administrer WSUS Rédacteur : Eric Drezet Administrateur réseau CNRS-CRHEA 07/2005 Groupe Admin06 - Resinfo But du papier : Paramétrer WSUS pour récupérer les mises à jour et administrer le serveur WSUS

Plus en détail

Principe de fonctionnement du lanceur d'application "AdisTlsStartCfgLotus"

Principe de fonctionnement du lanceur d'application AdisTlsStartCfgLotus IS00788 Principe de fonctionnement du lanceur d'application Fiche IS00788 Version document : 1.02 Diffusion limitée : Systancia, membres du programme Partenaires AppliDis et clients ou prospects de Systancia

Plus en détail

Utilisation de l ordinateur portable Bluetooth avec le hub Logitech sans fil

Utilisation de l ordinateur portable Bluetooth avec le hub Logitech sans fil Utilisation de l ordinateur portable Bluetooth avec le hub Logitech sans fil 2003 Logitech, Inc. Sommaire Introduction 3 Connexion 6 Produits compatibles 3 Présentation du guide 4 Connexion de l'ordinateur

Plus en détail

Securexam Consignes pour l EFU Les 2, 3 et 4 juin 2015

Securexam Consignes pour l EFU Les 2, 3 et 4 juin 2015 Securexam Consignes pour l EFU Les 2, 3 et 4 juin 2015 ATTENTION : Consignes aux candidats qui doivent encrypter leur clé USB : Une fois votre ordinateur démarré, avant de lancer Securexam (CA), procédez

Plus en détail

Tutoriel code::blocks

Tutoriel code::blocks Tutoriel code::blocks E. Lunéville 2006 Le logiciel code::blocks fait partie des logiciels de type EDI (Environnement de Développement Intégré, IDE en anglais) pour le langage C++. Il est multiplateforme

Plus en détail

Archivage de courriels avec Outlook (2007-2010-2013)

Archivage de courriels avec Outlook (2007-2010-2013) Archivage de courriels avec Outlook (2007-2010-2013) Introduction: Si vous utilisez le protocole IMAP ou directement l interface web de Smartermail pour accéder à vos courriels, vous devez savoir que ceux-ci

Plus en détail

1 Démarrer... 3 1.1 L écran Isis...3 1.2 La boite à outils...3 1.2.1 Mode principal... 4 1.2.2 Mode gadget...4 1.2.3 Mode graphique...

1 Démarrer... 3 1.1 L écran Isis...3 1.2 La boite à outils...3 1.2.1 Mode principal... 4 1.2.2 Mode gadget...4 1.2.3 Mode graphique... 1 Démarrer... 3 1.1 L écran Isis...3 1.2 La boite à outils...3 1.2.1 Mode principal... 4 1.2.2 Mode gadget...4 1.2.3 Mode graphique... 4 2 Quelques actions... 5 2.1 Ouvrir un document existant...5 2.2

Plus en détail

Guide d utilisation de la clé mémoire USB

Guide d utilisation de la clé mémoire USB Service des bibliothèques Guide d utilisation de la clé mémoire USB I- Préambule Politique de prêt : Le Service des bibliothèques vous permet de faire l emprunt d une clé mémoire pour une période de 48

Plus en détail

Système Normalisé de Gestion des Bibliothèques -SYNGEB : version Réseau-

Système Normalisé de Gestion des Bibliothèques -SYNGEB : version Réseau- Ministère de l Enseignement Supérieur et de la Recherche Scientifique Centre de Recherche sur l Information Scientifique et Technique Système Normalisé de Gestion des Bibliothèques -SYNGEB : version Réseau-

Plus en détail

Projet De Stijl Plate-forme pour robots mobiles

Projet De Stijl Plate-forme pour robots mobiles Projet De Stijl Plate-forme pour robots mobiles Guide de prise en main de Xenomai et des cibles Version : 22 janvier 2013 S. Di Mercurio, P.-E. Hladik Table des matières 1 Glossaire.........................................

Plus en détail

Installation et configuration du logiciel BauBit

Installation et configuration du logiciel BauBit Installation et configuration du logiciel BauBit Version Windows 2013 Version Date Description 1.0 11.2011 Gabriel Python 2.0 01.2013 Gabriel Python 3.0 09.2013 Gabriel Python 1 1 Configuration avant installation

Plus en détail

Procédure d installation des logiciels EBP sous environnement MAGRET

Procédure d installation des logiciels EBP sous environnement MAGRET Procédure d installation des logiciels EBP sous environnement MAGRET EBP Informatique SA Rue de Cutesson ZA Bel Air BP 95 F-78513 Rambouillet Cedex Equipe Education Tél : 01 34 94 83 82 Fax : 01 34 94

Plus en détail

Réparer un disque dur passé en RAW

Réparer un disque dur passé en RAW Réparer un disque dur passé en RAW Vous branchez comme d habitude votre disque dur externe à votre ordinateur afin de récupérer son contenu ou de lui ajouter des fichiers quand Windows vous propose de

Plus en détail

Atelier La notion de session utilisateur sous Linux

Atelier La notion de session utilisateur sous Linux Chapitre 6 Atelier La notion de session utilisateur sous Linux I Présentation Nous allons voir la notion de session et d utilisateur sous linux, ainsi que quelques commandes de base afin de mieux comprendre

Plus en détail

BTS SIO option SISR Lycée Godefroy de Bouillon Clermont-Ferrand

BTS SIO option SISR Lycée Godefroy de Bouillon Clermont-Ferrand Active Directory sous Windows Server SAHIN Ibrahim BTS SIO option SISR Lycée Godefroy de Bouillon Clermont-Ferrand Sommaire I - Introduction... 3 1) Systèmes d exploitation utilisés... 3 2) Objectifs...

Plus en détail

Mendeley, pour gérer sa bibliographie et la partager. Patricia Volland-Nail

Mendeley, pour gérer sa bibliographie et la partager. Patricia Volland-Nail Mendeley, pour gérer sa bibliographie et la partager Patricia Volland-Nail Avertissement Ce diaporama est le support d une formation qui a été dispensée à l URFIST de Bordeaux le 29 Novembre 2013 Il nécessite

Plus en détail

INSTALLATION DE CEGID BUSINESS VERSION 2008 Edition 4 (CD-Rom du 16/07/2009) SUR UN POSTE AUTONOME SOMMAIRE

INSTALLATION DE CEGID BUSINESS VERSION 2008 Edition 4 (CD-Rom du 16/07/2009) SUR UN POSTE AUTONOME SOMMAIRE INSTALLATION DE CEGID BUSINESS VERSION 2008 Edition 4 (CD-Rom du 16/07/2009) SUR UN POSTE AUTONOME D'après un document de Francis VANDERMOERE et Serge VIAL membres du CRCF de Grenoble. Mis à jour version

Plus en détail

FICHE 17 : CREER UN SITE WEB

FICHE 17 : CREER UN SITE WEB Publisher permet de créer des sites web. FICHE 17 : CREER UN SITE WEB Créez une nouvelle composition et choisissez Site web. Vous avez le choix entre utiliser le générateur rapide de site web, créer un

Plus en détail

Windows. Préparation. Windows

Windows. Préparation. Windows Mise à jour du firmware (microprogramme) pour les appareils photo évolués à objectif interchangeable Nikon 1, les objectifs NIKKOR 1 et les accessoires Nikon 1 Merci d avoir choisi un produit Nikon. Ce

Plus en détail

Sophos Endpoint Security and Control Guide de configuration pour réseaux étendus. Enterprise Console, version 3.1 EM Library, version 1.

Sophos Endpoint Security and Control Guide de configuration pour réseaux étendus. Enterprise Console, version 3.1 EM Library, version 1. Sophos Endpoint Security and Control Guide de configuration pour réseaux étendus Enterprise Console, version 3.1 EM Library, version 1.3 Document daté de : Avril 2008 Table des matières 1 A propos de

Plus en détail

Système Principal (hôte) 2008 Enterprise x64

Système Principal (hôte) 2008 Enterprise x64 Network Shutdown Module V3 Extension du Manuel Utilisateur pour architecture Virtualisée avec : Hyper-V 6.0 Manager Hyper-V Server (R1&R2) de Microsoft Hyper-V 6.0 Network Shutdown Module Système Principal

Plus en détail

Cours 420-KEG-LG, Gestion de réseaux et support technique. Atelier No2 :

Cours 420-KEG-LG, Gestion de réseaux et support technique. Atelier No2 : Atelier No2 : Installation d Active Directory Installation du service DNS Installation du Service WINS Création d'un compte d'ordinateur Jonction d'un ordinateur à un domaine Création d usagers. Étape

Plus en détail

Tutorial NL220. Objectifs : Fournir un guide utilisateur pour NL220. Présenter une méthodologie efficace pour la création de projet

Tutorial NL220. Objectifs : Fournir un guide utilisateur pour NL220. Présenter une méthodologie efficace pour la création de projet Tutorial NL220 Objectifs : Fournir un guide utilisateur pour NL220 Présenter une méthodologie efficace pour la création de projet Newron System http://www.newron-system.com Objectifs :... 1 1. Créer un

Plus en détail

1. Aménagements technologiques 2. Installation de Microsoft SQL Server 2012

1. Aménagements technologiques 2. Installation de Microsoft SQL Server 2012 Microsoft SQL Server 2012 Guide d installation et de configuration sur des serveurs Microsoft Windows version 2008/2008R2/2012 ( Décembre 2012 ) Ce guide explique les différentes étapes pour installer

Plus en détail

VAMT 2.0. Activation de Windows 7 en collège

VAMT 2.0. Activation de Windows 7 en collège VAMT 2.0 Activation de Windows 7 en collège Rédacteurs : Jean-Laurent BOLLINGER Stéphan CAMMARATA Objet : Document décrivant la procédure d activation de Windows 7 avec VAMT 2.0 dans les collèges de l

Plus en détail

Installation poste de travail. Version 2.0 10/02/2011

Installation poste de travail. Version 2.0 10/02/2011 Vente Partner version XEROX Installation poste de travail Version 2.0 10/02/2011 Page 1 Pour installer, vous aurez besoin : - Du CD (ou de sa copie sur le serveur) de la même version que celle déjà installée

Plus en détail

KM2 W1 EVC1 M3~ Manuel AUTOMSIM API 24V. BP Dcy 1MINI 1MAXI. www.irai.com

KM2 W1 EVC1 M3~ Manuel AUTOMSIM API 24V. BP Dcy 1MINI 1MAXI. www.irai.com L1 L2 L3 F1 M1 KM2 0V U1 V1 M3~ W1 EVC1 Manuel AUTOMSIM 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 API 24V 0 1 2 C1MINI BP Dcy C1MAXI 1MAXI 1MINI EVC1 www.irai.com INTRODUCTION... 5 INSTALLATION... 6 PRISE EN

Plus en détail

Table des matières L INTEGRATION DE SAS AVEC JMP. Les échanges de données entre SAS et JMP, en mode déconnecté. Dans JMP

Table des matières L INTEGRATION DE SAS AVEC JMP. Les échanges de données entre SAS et JMP, en mode déconnecté. Dans JMP L INTEGRATION DE SAS AVEC JMP Quelles sont les techniques possibles pour intégrer SAS avec JMP? Comment échanger des données entre SAS et JMP? Comment connecter JMP à SAS? Quels sont les apports d une

Plus en détail

Les différentes méthodes pour se connecter

Les différentes méthodes pour se connecter Les différentes méthodes pour se connecter Il y a plusieurs méthodes pour se connecter à l environnement vsphere 4 : en connexion locale sur le serveur ESX ; avec vsphere Client pour une connexion sur

Plus en détail

Guide d utilisation commandes des pièces de rechange Rev.1.0.3

Guide d utilisation commandes des pièces de rechange Rev.1.0.3 SECLI Ver.2.3 Guide d utilisation commandes des pièces de rechange Rev.1.0.3 Ce document est constamment actualisé: vérifiez le n de la version pour savoir s il existe d éventuelles mises à jour Futura

Plus en détail

acheter en ligne l Esko Store Comment sur

acheter en ligne l Esko Store Comment sur Comment acheter en ligne sur l Esko Store C est la première fois que vous achetez sur l Esko Store? Ce guide vous indiquera comment procéder, étape par étape. Table des matières 1. Achats Ajouter des achats

Plus en détail

Ce document décrit la démarche à suivre pour installer les outils de développement et compiler le projet TANAGRA.

Ce document décrit la démarche à suivre pour installer les outils de développement et compiler le projet TANAGRA. Guide de compilation de TANAGRA Ce document décrit la démarche à suivre pour installer les outils de développement et compiler le projet TANAGRA. Remarque : La version de TANAGRA distribuée sur le site

Plus en détail

Conférence Web sur demande de TELUS Guide de référence rapide

Conférence Web sur demande de TELUS Guide de référence rapide Conférence Web sur demande de TELUS Guide de référence rapide Aperçu Page 2 Modification des renseignements personnels Ouverture de session Optimisation de l espace de travail Modes Participants Fonctions

Plus en détail

Guide d installation des licences Solid Edge-NB RB-18-09-2012

Guide d installation des licences Solid Edge-NB RB-18-09-2012 Ce document vous guide dans la gestion, l installation et la mise à jour des licences Solid Edge. Contenu Les types de licences...2 Le site GTAC : support.ugs.com...3 Création d un compte GTAC...3 Identifiant

Plus en détail

l'atelier Scientifique

l'atelier Scientifique l'atelier Scientifique Etude et réalisation d un thermomètre informatisé V E R S I O N 1.41 SOMMAIRE SOMMAIRE...1 Présentation...3 Sources d'information...4 Installation...5 Installation...6 Mise à jour...11

Plus en détail

WINDOWS SHAREPOINT SERVICES 2007

WINDOWS SHAREPOINT SERVICES 2007 WINDOWS SHAREPOINT SERVICES 2007 I. TABLE DES MATIÈRES II. Présentation des «content types» (Type de contenu)... 2 III. La pratique... 4 A. Description du cas... 4 B. Création des colonnes... 6 C. Création

Plus en détail

Intégration de Cisco CallManager IVR et Active Directory

Intégration de Cisco CallManager IVR et Active Directory Intégration de Cisco CallManager IVR et Active Directory Contenu Introduction Conditions préalables Conditions requises Composants utilisés Conventions Configurez Diagramme du réseau Configuration de Cisco

Plus en détail

MICROINFORMATIQUE NOTE D APPLICATION 1 (REV. 2011) ARITHMETIQUE EN ASSEMBLEUR ET EN C

MICROINFORMATIQUE NOTE D APPLICATION 1 (REV. 2011) ARITHMETIQUE EN ASSEMBLEUR ET EN C Haute Ecole d Ingénierie et de Gestion Du Canton du Vaud MICROINFORMATIQUE NOTE D APPLICATION 1 (REV. 2011) ARITHMETIQUE EN ASSEMBLEUR ET EN C Programmation en mode simulation 1. DOCUMENTS DE RÉFÉRENCE...

Plus en détail

http://manageengine.adventnet.com/products/opmanager/download.html?free

http://manageengine.adventnet.com/products/opmanager/download.html?free Introduction Opmanager est un outil de supervision des équipements réseau. Il supporte SNMP, WMI et des scripts ssh ou Telnet pour récupérer des informations sur les machines. Toutefois les machines doivent

Plus en détail

PROJET AZURE (par Florent Picard, A2011)

PROJET AZURE (par Florent Picard, A2011) PROJET AZURE (par Florent Picard, A2011) Remarques générales: exécuter toutes les applications en mode Administrateur; tous les logiciels sont gratuits 1 Mettre à jour l'ordinateur (Windows 7, 64 bits)

Plus en détail

UltraBackup NetStation 4. Guide de démarrage rapide

UltraBackup NetStation 4. Guide de démarrage rapide UltraBackup NetStation 4 Guide de démarrage rapide Table des matières 1 Fonctionnalités... 3 1.1 Ce qu UltraBackup NetStation permet de faire... 3 1.2 Ce qu UltraBackup NetStation ne permet pas de faire...

Plus en détail

Directives d installation

Directives d installation Directives d installation Partie 1: Installation Table des matières Partie 1: Installation...1 A1 Configuration requise...2 A1.1 Système d exploitation...2 A1.2 Base de données...2 A1.3. Réseaux Peer2Peer

Plus en détail

MANUEL D UTILISATION - Précis Poste de Traitement d Images 1 - Déconvolution

MANUEL D UTILISATION - Précis Poste de Traitement d Images 1 - Déconvolution Service Commun de Microscopie MANUEL D UTILISATION - Précis Poste de Traitement d Images 1 - Déconvolution Version 1 Précis Février 2015 SERVICE COMMUN DE MICROSCOPIE MANUEL D UTILISATION Poste de Traitement

Plus en détail

NetBak Replicator 4.0 Manuel de l utilisateur Version 1.0

NetBak Replicator 4.0 Manuel de l utilisateur Version 1.0 NetBak Replicator 4.0 Manuel de l utilisateur Version 1.0 Copyright 2012. QNAP Systems, Inc. All Rights Reserved. 1 NetBak Replicator 1. Avis... 3 2. Installer le logiciel NetBak Replicator... 4 2.1 Configuration

Plus en détail

GUIDE D INSTALLATION DES DRIVERS

GUIDE D INSTALLATION DES DRIVERS GUIDE D INSTALLATION DES DRIVERS POUR L ELM327 PAR OUTILS OBD FACILE Découvrez nos packs ELM327 et nos logiciels de diagnostic automobile sur notre boutique www.boutiqueobdfacile.fr Outils OBD Facile Juillet

Plus en détail