Quels outils libres pour le FPGA? Quand le monde du logiciel rencontre celui du matériel

Dimension: px
Commencer à balayer dès la page:

Download "Quels outils libres pour le FPGA? Quand le monde du logiciel rencontre celui du matériel"

Transcription

1 Quels outils libres pour le FPGA? Quand le monde du logiciel rencontre celui du matériel Soft Hard

2 11 juillet 2011 RMLL 2011 : Quels Logiciels Libres pour le FPGA 2 Qui suis-je? Membre d'armadeus Project Responsable FPGA chez ARMadeus Systems Mainteneur de POD (Peripherals On Demand) Libriste

3 11 juillet 2011 RMLL 2011 : Quels Logiciels Libres pour le FPGA 3 Sommaire 1. Qu'est-ce qu'un FPGA 2. La chaine de développement FPGA 3. Modélisation, simulation VHDL Verilog SystemC 4. Spécialisation matériel 5. Génération du bitstream 6. Utilisation réelle

4 11 juillet 2011 RMLL 2011 : Quels Logiciels Libres pour le FPGA 4 Qu'est-ce qu'un FPGA? Field Programmable Gates Array Matrice de Champs de Portes Programmables

5 11 juillet 2011 RMLL 2011 : Quels Logiciels Libres pour le FPGA 5 Qu'est-ce qu'un FPGA? Matrice de portes logiques Interconnexions reconfigurables Différentes technologies ram, flash,...

6 11 juillet 2011 RMLL 2011 : Quels Logiciels Libres pour le FPGA 6 Une simple bascule D La base d'un design synchrone. Recopie l'entrée D sur la sortie Q à chaque front de Clk. D Q Clk Rst

7 11 juillet 2011 RMLL 2011 : Quels Logiciels Libres pour le FPGA 7 Composants Virtuels Aussi appelé IP (Intellectual property) Décrit dans un langage de modélisation «Synthétisable» Composants virtuels libres : OpenCores Milkymist POD

8 11 juillet 2011 RMLL 2011 : Quels Logiciels Libres pour le FPGA 8 Chaine de développement FPGA VC VC VC Assemblage composants Design HDL Synthèse netlist Place & route placelist Bitgen Bitstream Config Conception, modélisation simulation Spécialisation pour un FPGA donné Utilisation réelle

9 11 juillet 2011 RMLL 2011 : Quels Logiciels Libres pour le FPGA 9 Chaine de développement FPGA VC VC VC Assemblage composants Design HDL Synthèse netlist Place & route placelist Bitgen Bitstream Config Conception, modélisation simulation Spécialisation pour un FPGA donné Utilisation réelle

10 11 juillet 2011 RMLL 2011 : Quels Logiciels Libres pour le FPGA 10 Modélisation et simulation Trois languages «standard»: VHDL Verilog SystemC Tous simulables «librement» Tous les outils GNU/GPL disponibles

11 11 juillet 2011 RMLL 2011 : Quels Logiciels Libres pour le FPGA 11 VHDL VHSIC Hardware Description Language VHSIC : Very High Speed Integrated Circuit Language de description matériel pour circuit intégré à très grande vitesse... Commande de l'armée américaine Syntaxe «ada» Beaucoup utilisé en europe

12 11 juillet 2011 RMLL 2011 : Quels Logiciels Libres pour le FPGA 12 Exemple simple D Q Clk Rst

13 11 juillet 2011 RMLL 2011 : Quels Logiciels Libres pour le FPGA 13 Outils VHDL GHDL: Tristan Gingold (ada france) Front-end de gcc Couverture de code avec gcov GTKWave: visualiseur de signaux Compatible tous langages de simulation POD: Peripherals On Demand Outils Python d'assemblage de composants ARMadeus Project

14 11 juillet 2011 RMLL 2011 : Quels Logiciels Libres pour le FPGA 14 GTKWave Visualiseur de signaux en GTK Nombreux formats : vcd, ghw, vzt, lxt, Développement actif.

15 11 juillet 2011 RMLL 2011 : Quels Logiciels Libres pour le FPGA 15 POD: Peripherals On Demand Outil Python d'assemblage de Composants virtuels Génère la «glue» en VHDL. Ligne de commande + complétion.

16 Demo 11 juillet 2011 RMLL 2011 : Quels Logiciels Libres pour le FPGA 16

17 11 juillet 2011 RMLL 2011 : Quels Logiciels Libres pour le FPGA 17 Verilog Développé par Cadence depuis 1984 Vaguement inspiré du C Standardisé par l'ieee en 1995 Beaucoup utilisé aux USA Plus concis

18 11 juillet 2011 RMLL 2011 : Quels Logiciels Libres pour le FPGA 18 Exemple simple D Q Clk Rst

19 11 juillet 2011 RMLL 2011 : Quels Logiciels Libres pour le FPGA 19 Outils Verilog Icarus (iverilog) Simulation, Synthèse Conversion vers VHDL. Verilator Convertisseur verilog systemc, C++,... Accélérateur de simulation. GTKWave Vermin, rtlbrowse Dinotrace

20 Demo 11 juillet 2011 RMLL 2011 : Quels Logiciels Libres pour le FPGA 20

21 11 juillet 2011 RMLL 2011 : Quels Logiciels Libres pour le FPGA 21 SystemC Initialement développé par Synopsys (scenic) Bibliothèque de classes et macros C++ Normalisé par l'osci : Open SystemC Initiative Code source disponible (login). Simulation comportementale (behavioral) Rapide.

22 11 juillet 2011 RMLL 2011 : Quels Logiciels Libres pour le FPGA 22 Exemple simple D Q Clk Rst

23 11 juillet 2011 RMLL 2011 : Quels Logiciels Libres pour le FPGA 23 Les outils SystemC systemc tgz : à télécharger sur le site de l'osci GCC (g++) GtkWave Outils C++

24 Demo 11 juillet 2011 RMLL 2011 : Quels Logiciels Libres pour le FPGA 24

25 11 juillet 2011 RMLL 2011 : Quels Logiciels Libres pour le FPGA 25 Chaine de développement FPGA VC VC VC Assemblage composants Design HDL Synthèse netlist Place & route placelist Bitgen Bitstream Config Conception, modélisation simulation Spécialisation pour un FPGA donné Utilisation réelle

26 11 juillet 2011 RMLL 2011 : Quels Logiciels Libres pour le FPGA 26 Spécialisation matériel De nombreux formats Netlist: Placelist: Antares NCD: Xilinx EDIF: Standard LLHDL: Projet libre (Sébastien Bourdeauducq) XDL: Xilinx lisible NCD: Xilinx Logiciel de placement routage pour Xilinx. (Sébastien Bourdeauducq)

27 11 juillet 2011 RMLL 2011 : Quels Logiciels Libres pour le FPGA 27 Chaine de développement FPGA VC VC VC Assemblage composants Design HDL Synthèse netlist Place & route placelist Bitgen Bitstream Config Conception, modélisation simulation Spécialisation pour un FPGA donné Utilisation réèlle

28 11 juillet 2011 RMLL 2011 : Quels Logiciels Libres pour le FPGA 28 Bitgen:Debit Projet Debit Jean-Baptiste Note et Éric Rannaud (ENS) Reverse des bitstream Xilinx

29 11 juillet 2011 RMLL 2011 : Quels Logiciels Libres pour le FPGA 29 Bitgen:Debit Génère des bitstreams Pour Virtex2 Pour Spartan3

30 11 juillet 2011 RMLL 2011 : Quels Logiciels Libres pour le FPGA 30 Chaine de développement FPGA VC VC VC Assemblage composants Design HDL Synthèse netlist Place & route placelist Bitgen Bitstream Config Conception, modélisation simulation Spécialisation pour un FPGA donné Utilisation réelle

31 11 juillet 2011 RMLL 2011 : Quels Logiciels Libres pour le FPGA 31 Utilisation réèlle Configuration du FPGA correctement documenté. EEPROM JTAG SPI Parallèle Oscilloscope Fonctionnement réel

32 11 juillet 2011 RMLL 2011 : Quels Logiciels Libres pour le FPGA 32 Conclusion Simulation et modélisation: Des projets tout à fait utilisables Synthèse, placement routage: Des projets naissants mais prometteur Génération de bitstream: C'est possible! «from the bitstream to the netlist» La pente est raide mais la route est droite!

33 Liens GHDL : GtkWave : POD : Icarus : Verilator, Dynotrace : VHDL : github.com/sebx86/verilatorvhdl SystemC : LLHDL : github.com/sbourdeauducq/llhdl Antares : github.com/sbourdeauducq/antares Debit : 11 juillet 2011 RMLL 2011 : Quels Logiciels Libres pour le FPGA 33

34 11 juillet 2011 RMLL 2011 : Quels Logiciels Libres pour le FPGA 34 Questions? Questions?

Quoi de neuf en LabVIEW FPGA 2010?

Quoi de neuf en LabVIEW FPGA 2010? Quoi de neuf en LabVIEW FPGA 2010? Yannick DEGLA Ingénieur d Application Fonctionnalités de LabVIEW FPGA 2010 Nœud d intégration d IP - Importer directement des fichiers.xco de Xilinx ou vos propres VHDL

Plus en détail

JOG : une approche haut niveau des systèmes embarqués via Armadeus et Java

JOG : une approche haut niveau des systèmes embarqués via Armadeus et Java JOG : une approche haut niveau des systèmes embarqués via Armadeus et Java Resumé Olivier Reynet 1, Jean-Christophe Le Lann 1, Benoît Clément 1 1 ENSIETA, 2, rue François Verny, 29200 Brest - France olivier.reynet@no-spam@ensieta.fr

Plus en détail

Modélisation physique des cellules logiques... Modèles pour le placement routage, le format "LEF"

Modélisation physique des cellules logiques... Modèles pour le placement routage, le format LEF Modélisation physique des cellules logiques... Modèles pour le placement routage, le format "LEF" Yves Mathieu Plan Introduction Technologie Macros Conclusion 2/21 FC Backend ASIC Yves Mathieu Library

Plus en détail

Conception Systèmes numériques VHDL et synthèse automatique des circuits

Conception Systèmes numériques VHDL et synthèse automatique des circuits Année 2008-2009 Conception Systèmes numériques VHDL et synthèse automatique des circuits Travaux pratiques Pentium4 Présentation du simulateur VHDL sous environnement Cadence Présentation de l outil Synopsys

Plus en détail

DOCUMENT PROTEGE PAR UN DROIT DE COPIE. CPLD ou FPGA Critères de choix. page 1

DOCUMENT PROTEGE PAR UN DROIT DE COPIE. CPLD ou FPGA Critères de choix. page 1 Une des caractéristiques du domaine des circuits programmables est d être résolument moderne, tirant parti des évolutions concernant les procédés technologiques, la propriété intellectuelle(ip), l Internet,

Plus en détail

Conception Systèmes numériques VHDL et synthèse automatique des circuits

Conception Systèmes numériques VHDL et synthèse automatique des circuits Année 2011-2012 Conception Systèmes numériques VHDL et synthèse automatique des circuits Travaux pratiques WIDEMACV1 LAAS-CNRS 2011 Présentation du simulateur VHDL sous environnement Cadence Présentation

Plus en détail

QUESTION 1 {2 points}

QUESTION 1 {2 points} ELE4301 Systèmes logiques II Page 1 de 8 QUESTION 1 {2 points} En se servant de paramètres électriques donnés dans le Tableau 1 ci-dessous, on désire déterminer la fréquence d opération du compteur présenté

Plus en détail

Vers du matériel libre

Vers du matériel libre Février 2011 La liberté du logiciel n est qu une partie du problème. Winmodems Modem traditionnel Bon fonctionnement Plus cher Electronique propriétaire Blob sur DSP intégré au modem Bien reçu par les

Plus en détail

Quoi de neuf en contrôle/commande et systèmes embarqués (RIO, WSN...)?

Quoi de neuf en contrôle/commande et systèmes embarqués (RIO, WSN...)? Quoi de neuf en contrôle/commande et systèmes embarqués (RIO, WSN...)? Mathieu PACE National Instruments, Ingénieur d applications L architecture RIO se développe Processeur FPGA E/S E/S E/S personnalisées

Plus en détail

Conception et Intégration de Systèmes Critiques

Conception et Intégration de Systèmes Critiques Conception et Intégration de Systèmes Critiques 15 12 18 Non 50 et S initier aux méthodes le développement de projet (plan de développement, intégration, gestion de configuration, agilité) Criticité temporelle

Plus en détail

IRL : Simulation distribuée pour les systèmes embarqués

IRL : Simulation distribuée pour les systèmes embarqués IRL : Simulation distribuée pour les systèmes embarqués Yassine El Khadiri, 2 ème année Ensimag, Grenoble INP Matthieu Moy, Verimag Denis Becker, Verimag 19 mai 2015 1 Table des matières 1 MPI et la sérialisation

Plus en détail

Implémentation FPGA d'algorithmes de surveillance de trafic. Projet 11 : Benoît FONTAINE Tristan GROLÉAT Franziska HUBERT

Implémentation FPGA d'algorithmes de surveillance de trafic. Projet 11 : Benoît FONTAINE Tristan GROLÉAT Franziska HUBERT Implémentation FPGA d'algorithmes de surveillance de trafic Projet 11 : Benoît FONTAINE Tristan GROLÉAT Franziska HUBERT Projet S5, SLR 4 décembre 2009 Plan Contexte Étude bibliographique NetFPGA Les Outils

Plus en détail

Thème 3 Conception et vérification d architectures de systèmes sur puce

Thème 3 Conception et vérification d architectures de systèmes sur puce Thème 3 Conception et vérification d architectures de systèmes sur puce Conception et simulation Frédéric Pétrot Vérification Laurence Pierre Conception et vérification d architectures de systèmes sur

Plus en détail

SIN-FPGA DESCRIPTION PAR SCHEMA

SIN-FPGA DESCRIPTION PAR SCHEMA SIN-FPGA DESCRIPTION PAR SCHEMA Documents ressources: http://www.altera.com/literature/lit-index.html Introduction to Quartus II : intro_to_quartus2.pdf Documentation QUARTUS II : quartusii_handbook.pdf

Plus en détail

Altium Designer la conception électronique sous un angle différent

Altium Designer la conception électronique sous un angle différent 1 of 2 1 of 6 Altium Designer la conception électronique sous un angle différent Vous connaissez la conception électronique, la manière dont fonctionnent les outils de conception électronique, et ce qu

Plus en détail

Profil UML pour TLM: contribution à la formalisation et à l automatisation du flot de conception et vérification des systèmes-sur-puce.

Profil UML pour TLM: contribution à la formalisation et à l automatisation du flot de conception et vérification des systèmes-sur-puce. INSTITUT NATIONAL POLYTECHNIQUE DE GRENOBLE N attribué par la bibliothèque T H È S E pour obtenir le grade de DOCTEUR DE L INPG Spécialité : «Micro et Nano Électronique» préparée au laboratoire CEA LIST/DTSI/SOL/LISE

Plus en détail

Les systèmes embarqués Introduction. Richard Grisel Professeur des Universités Université de Rouen Nacer Abouchi Professeur ESCPE Lyon

Les systèmes embarqués Introduction. Richard Grisel Professeur des Universités Université de Rouen Nacer Abouchi Professeur ESCPE Lyon Les systèmes embarqués Introduction Richard Grisel Professeur des Universités Université de Rouen Nacer Abouchi Professeur ESCPE Lyon Introduction aux systèmes embarqués Définition. Caractéristiques d

Plus en détail

ADÉQUATION ALGORITHME-ARCHITECTURE APPLIQUÉE AUX CIRCUITS RECONFIGURABLES

ADÉQUATION ALGORITHME-ARCHITECTURE APPLIQUÉE AUX CIRCUITS RECONFIGURABLES ADÉQUATION ALGORITHME-ARCHITECTURE APPLIQUÉE AUX CIRCUITS RECONFIGURABLES AILTON F. DIAS, MOHAMED AKIL, CHRISTOPHE LAVARENNE, YVES SOREL CNEN/CDTN Divisão de Computação e Informação, CP 941-012-970 Belo

Plus en détail

Développement de projets logiciels à l'aide de Python

Développement de projets logiciels à l'aide de Python Développement de projets logiciels à l'aide de Python Gérard Swinnen Institut St. Jean-Berchmans & Université de Liège Belgique Conférence Python UBS -Vannes 15 Avril 2005 Cheminement personnel Stratégies

Plus en détail

Lecteur de carte à puce LCPM1 SOMMAIRE

Lecteur de carte à puce LCPM1 SOMMAIRE SOMMAIRE I Différents types de cartes p2 1.1- Carte magnétique 1.2- Carte II Les cartes s. p3 2.1- Introduction 2.2- Constitution III Les familles de cartes s. p6 3.1- Les cartes à mémoire simple 3.2-

Plus en détail

MAC-TC: programmation d un plate forme DSP-FPGA

MAC-TC: programmation d un plate forme DSP-FPGA MAC-TC: programmation d un plate forme DSP-FPGA Tanguy Risset avec l aide de: Nicolas Fournel, Antoine Fraboulet, Claire Goursaud, Arnaud Tisserand - p. 1/17 Plan Partie 1: le système Lyrtech Introduction

Plus en détail

Annexe : La Programmation Informatique

Annexe : La Programmation Informatique GLOSSAIRE Table des matières La Programmation...2 Les langages de programmation...2 Java...2 La programmation orientée objet...2 Classe et Objet...3 API et Bibliothèque Logicielle...3 Environnement de

Plus en détail

Le langage VHDL. Eduardo Sanchez EPFL

Le langage VHDL. Eduardo Sanchez EPFL Le langage VHDL Eduardo Sanchez EPFL Livres conseillés: John F. Wakerly Digital design (4th edition) Prentice Hall, 2005 Peter J. Ashenden The designer's guide to VHDL (3rd edition) Morgan Kaufmann, 2008

Plus en détail

[ Rencontres Mondiales du Logiciel Libre 2011 Lundi 11 juillet 2011 ] Introduction à l'open Hardware Auteur : Dr Ir Robert Viseur

[ Rencontres Mondiales du Logiciel Libre 2011 Lundi 11 juillet 2011 ] Introduction à l'open Hardware Auteur : Dr Ir Robert Viseur [ Rencontres Mondiales du Logiciel Libre 2011 Lundi 11 juillet 2011 ] Introduction à l'open Hardware Auteur : Dr Ir Robert Viseur Qui suis-je? Robert Viseur Ingénieur Civil, Mastère en Management de l'innovation,

Plus en détail

Modules du DUT Informatique proposés pour des DCCE en 2014/2015

Modules du DUT Informatique proposés pour des DCCE en 2014/2015 Modules du DUT Informatique proposés pour des DCCE en 2014/2015 Résumé de l offre : Parmi les 5500 heures d enseignement informatique dispensées au département informatique de l IUT (avec 2880 heures de

Plus en détail

COMMANDER A DISTANCE LE ROBOT-PONG ETUDE DE LA TELECOMMANDE (2 nde PARTIE)

COMMANDER A DISTANCE LE ROBOT-PONG ETUDE DE LA TELECOMMANDE (2 nde PARTIE) SIN STI2D - Système d'information et Numérique TD TP Cours Synthèse Devoir Evaluation Projet Document ressource COMMANDER A DISTANCE LE ROBOT-PONG ETUDE DE LA TELECOMMANDE (2 nde PARTIE) 1 GESTION DES

Plus en détail

Rapport technique Surveillance réseau sur NetFPGA Projet 3A

Rapport technique Surveillance réseau sur NetFPGA Projet 3A Rapport technique Surveillance réseau sur NetFPGA Projet 3A Rédacteurs : Benoit Fontaine Tristan Groléat Franziska Hubert Encadrants : Matthieu Arzel Amer Baghdadi Sandrine Vaton Sylvain Gombault étudiant

Plus en détail

Architecture Reconfigurable Hétérogène à Gestion Hiérarchique Distribuée pour la Reconfiguration et la Prise de Décision

Architecture Reconfigurable Hétérogène à Gestion Hiérarchique Distribuée pour la Reconfiguration et la Prise de Décision INSTITUT D ÉLECTRONIQUE ET DE TÉLÉCOMMUNICATIONS DE RENNES Architecture Reconfigurable Hétérogène à Gestion Hiérarchique Distribuée pour la Reconfiguration et la Prise de Décision dans les systèmes de

Plus en détail

Éléments de programmation et introduction à Java

Éléments de programmation et introduction à Java Éléments de programmation et introduction à Java Jean-Baptiste Vioix (jean-baptiste.vioix@iut-dijon.u-bourgogne.fr) IUT de Dijon-Auxerre - LE2I http://jb.vioix.free.fr 1-20 Les différents langages informatiques

Plus en détail

11 Février 2014 Paris nidays.fr. france.ni.com

11 Février 2014 Paris nidays.fr. france.ni.com 11 Février 2014 Paris nidays.fr Construire l enregistreur de données autonome de demain Marc-Junior LARROUY, Ingénieur d Applications, National Instruments France Contenu Introduction à l enregistrement

Plus en détail

Modélisation de la Reconfiguration Dynamique appliquée à un décodeur LDPC Non Binaire

Modélisation de la Reconfiguration Dynamique appliquée à un décodeur LDPC Non Binaire Modélisation de la Reconfiguration Dynamique appliquée à un décodeur LDPC Non Binaire LAURA CONDE-CANENCIA 1, JEAN-CHRISTOPHE.PREVOTET 2, YASET OLIVA 2, YVAN EUSTACHE 1 1 Université Européenne de Bretagne

Plus en détail

Les liaisons SPI et I2C

Les liaisons SPI et I2C DAMÉCOURT BENJAMIN AVRIL 28 Liaisons synchrones Les liaisons SPI et I2C Face arrière d un imac : trois ports USB, un port Firewire 4 et un port Firewire 8 CHRONOLOGIE ANNÉES 7 La liaison SPI et la création

Plus en détail

Sécurité de la conception et protection de la propriété intellectuelle état de l art

Sécurité de la conception et protection de la propriété intellectuelle état de l art Lilian Bossuet Université de Saint-Etienne Laboratoire Hubert Curien GDR SoC-SiP Journée «Sécurité des systèmes embarqués» Contrefaçons, PUF et Trojans Paris, le 27 novembre 2012 Sécurité de la conception

Plus en détail

Implémentation Matérielle des Services d un RTOS sur Circuit Reconfigurable

Implémentation Matérielle des Services d un RTOS sur Circuit Reconfigurable Implémentation Matérielle des Services d un RTOS sur Circuit Reconfigurable Pierre Olivier*, Jalil Boukhobza*, Jean-Philippe Babau +, Damien Picard +, Stéphane Rubini + *Lab-STICC, + LISyC, Université

Plus en détail

Openmoko, free your phone!

Openmoko, free your phone! Bearstech 3 juillet 2008 / RMLL / Mont-de-Marsan Openmoko C est quoi? Plusieurs choses Un nom de projet Comme Debian, Fedora, PostgreSQL,... Une société Openmoko Inc. filiale de FIC Une suite logicielle

Plus en détail

PROGRAMME DU CONCOURS DE RÉDACTEUR INFORMATICIEN

PROGRAMME DU CONCOURS DE RÉDACTEUR INFORMATICIEN PROGRAMME DU CONCOURS DE RÉDACTEUR INFORMATICIEN 1. DÉVELOPPEMENT D'APPLICATION (CONCEPTEUR ANALYSTE) 1.1 ARCHITECTURE MATÉRIELLE DU SYSTÈME INFORMATIQUE 1.1.1 Architecture d'un ordinateur Processeur,

Plus en détail

Piwik L'alternative Open Source en web analytique - Version numérique

Piwik L'alternative Open Source en web analytique - Version numérique Chapitre 1 - Introduction à Piwik A. Présentation de Piwik 9 1. Présentation du web analytique 9 2. Qu'est-ce que Piwik? 9 3. Qu'est-ce que l'open Source? 10 4. La communauté Piwik 10 5. Les références

Plus en détail

Notions d IPMI et retour. Ecole d électronique numérique Fréjus 28 novembre 2012 Nicolas LETENDRE

Notions d IPMI et retour. Ecole d électronique numérique Fréjus 28 novembre 2012 Nicolas LETENDRE Notions d IPMI et retour d experience du LAPP Ecole d électronique numérique Fréjus 28 novembre 2012 Nicolas LETENDRE L IPMI (Intelligent Platform Management Interface) Définition d Interfaces de bas niveau

Plus en détail

ELP 304 : Électronique Numérique. Cours 1 Introduction

ELP 304 : Électronique Numérique. Cours 1 Introduction ELP 304 : Électronique Numérique Cours 1 Introduction Catherine Douillard Dépt Électronique Les systèmes numériques : généralités (I) En électronique numérique, le codage des informations utilise deux

Plus en détail

UN PROCEDE DE SUPERVISION ET TELESURVEILLANCE A DISTANCE : UN OUTIL PEDAGOGIQUE FAVORISANT L INITIATION AU TRAVAIL DE GROUPE

UN PROCEDE DE SUPERVISION ET TELESURVEILLANCE A DISTANCE : UN OUTIL PEDAGOGIQUE FAVORISANT L INITIATION AU TRAVAIL DE GROUPE UN PROCEDE DE SUPERVISION ET TELESURVEILLANCE A DISTANCE : UN OUTIL PEDAGOGIQUE FAVORISANT L INITIATION AU TRAVAIL DE GROUPE Cyril Noirel (1), Didier Theilliol (2), Christophe Aubrun (3), Jean Christophe

Plus en détail

Informatique industrielle A7-19571 Systèmes temps-réel J.F.Peyre. Partie I : Introduction

Informatique industrielle A7-19571 Systèmes temps-réel J.F.Peyre. Partie I : Introduction Informatique industrielle A7-19571 Systèmes temps-réel J.F.Peyre Partie I : Introduction Plan de la première partie Quelques définitions Caractéristiques communes des applications temps-réel Exemples d

Plus en détail

SOMMAIRE. La simulation numérique chez PSA. Organisation PSA Les applications CFD CFD Process UGM ENSIGHT - LA CFD CHEZ PSA 27/09/2010 2

SOMMAIRE. La simulation numérique chez PSA. Organisation PSA Les applications CFD CFD Process UGM ENSIGHT - LA CFD CHEZ PSA 27/09/2010 2 LA CFD CHEZ PSA Jean-Marc STAIMESSE PSA Peugeot Citroën La simulation numérique chez PSA Organisation PSA Les applications CFD CFD Process SOMMAIRE UGM ENSIGHT - LA CFD CHEZ PSA 27/09/2010 2 LA SIMULATION

Plus en détail

pythonocc: une plateforme de développement agile d applications CAO.

pythonocc: une plateforme de développement agile d applications CAO. pythonocc: une plateforme de développement agile d applications CAO. PyConFR 2009 Cité des Sciences et de l Industrie, Paris Thomas Paviot*, Jelle Feringa* *pythonocc project: tpaviot@gmail.com; jelleferinga@gmail.com

Plus en détail

Les supports de lecture et les formats des livres numériques JDD - 2013

Les supports de lecture et les formats des livres numériques JDD - 2013 Les supports de lecture et les formats des livres numériques JDD - 2013 Les supports de lecture Tablette numérique Liseuse Ordinateur Tablette numérique Définition «Ordinateur portable extra-plat, tactile

Plus en détail

Stéphane DERACO, DSI CNRS l Argos Devops : de l hyperviseur aux conteneurs l 11/12/2014 DOCKER

Stéphane DERACO, DSI CNRS l Argos Devops : de l hyperviseur aux conteneurs l 11/12/2014 DOCKER DOCKER HISTOIRE Projet interne de www.dotcloud.com (PaaS) 1 ère release Open Source en mars 2013 Objectif : conteneurs CONTENEURS «Vieux» concept chroot Unix : 1983 jails FreeBSD : 1998 Isoler l exécution

Plus en détail

Cisco Discovery - DRSEnt Module 7

Cisco Discovery - DRSEnt Module 7 Page 1 of 7 Cisco Discovery - DRSEnt Module 7 Select language : English Mode examen : Oui (Changer la couleur du site, écriture noire sur fond blanc). Liens utiles : Site Netacad Télécharger Packet Tracer

Plus en détail

Cours 7 : Programmation d une chaîne d acquisition

Cours 7 : Programmation d une chaîne d acquisition Cours 7 : Programmation d une chaîne d acquisition 4 Concepts 4 Programmation Cible Pentium : Langages de haut niveau Langage graphique G sous LabView + librairies de VI ; Langage C + librairies de fonctions

Plus en détail

UE 8 Systèmes d information de gestion Le programme

UE 8 Systèmes d information de gestion Le programme UE 8 Systèmes d information de gestion Le programme Légende : Modifications de l arrêté du 8 mars 2010 Suppressions de l arrêté du 8 mars 2010 Partie inchangée par rapport au programme antérieur Indications

Plus en détail

Migration vers le Libre

Migration vers le Libre Formation continue Migration vers le Libre Enrico Vigano Haute Ecole de Gestion de Genève Filière Informatique de Gestion 6, 7 nov. 2006 - Genève Les logiciels libres en bibliothèque et centre de documentation

Plus en détail

JOURNEES SYSTEMES & LOGICIELS CRITIQUES le 14/11/2000. Mise en Œuvre des techniques synchrones pour des applications industrielles

JOURNEES SYSTEMES & LOGICIELS CRITIQUES le 14/11/2000. Mise en Œuvre des techniques synchrones pour des applications industrielles JOURNEES SYSTEMES & LOGICIELS CRITIQUES le 14/11/2000 Mise en Œuvre des techniques synchrones pour des applications industrielles Mise en œuvre des techniques synchrones pour des applications industrielles

Plus en détail

Topologies et Outils d Alertesd

Topologies et Outils d Alertesd Topologies et Outils d Alertesd IDS / IDP DEFINITIONS IDS : SDI / Système de détection d intrusion IDP : SPI / Système de protection d intrusion IDS / IDP Statfull matriciels ACTIVITE IDP : Coupe circuit

Plus en détail

Maarch Framework 3 - Maarch. Tests de charge. Professional Services. http://www.maarch.fr. 11, bd du Sud Est 92000 Nanterre

Maarch Framework 3 - Maarch. Tests de charge. Professional Services. http://www.maarch.fr. 11, bd du Sud Est 92000 Nanterre Maarch Professional Services 11, bd du Sud Est 92000 Nanterre Tel : +33 1 47 24 51 59 Fax : +33 1 47 24 54 08 Maarch Framework 3 - Maarch PS anime le développement d un produit d archivage open source

Plus en détail

Potentiels de la technologie FPGA dans la conception des systèmes. Avantages des FPGAs pour la conception de systèmes optimisés

Potentiels de la technologie FPGA dans la conception des systèmes. Avantages des FPGAs pour la conception de systèmes optimisés Potentiels de la technologie FPGA dans la conception des systèmes Avantages des FPGAs pour la conception de systèmes optimisés Gérard FLORENCE Lotfi Guedria Agenda 1. Le CETIC en quelques mots 2. Générateur

Plus en détail

INF2015 Développement de logiciels dans un environnement Agile Examen final hiver 2015

INF2015 Développement de logiciels dans un environnement Agile Examen final hiver 2015 INF2015 Développement de logiciels dans un environnement Agile Examen final hiver 2015 Question #1 Quelle technique de mise sous test devons-nous utiliser si nous voulons simuler le comportement d'une

Plus en détail

Traitement de données

Traitement de données Traitement de données Présentation du module TINI Présentation du module : Le module Tini se décline en plusieurs versions, il est constitué d une carte d application et d un module processeur : Les modules

Plus en détail

On distingue deux grandes catégories de mémoires : mémoire centrale (appelée également mémoire interne)

On distingue deux grandes catégories de mémoires : mémoire centrale (appelée également mémoire interne) Mémoire - espace destiné a recevoir, conserver et restituer des informations à traiter - tout composant électronique capable de stocker temporairement des données On distingue deux grandes catégories de

Plus en détail

La carte à puce. Jean-Philippe Babau

La carte à puce. Jean-Philippe Babau La carte à puce Jean-Philippe Babau Département Informatique INSA Lyon Certains éléments de cette présentation sont issus de documents Gemplus Research Group 1 Introduction Carte à puce de plus en plus

Plus en détail

Chapitre II-2 : Conception SoPC (Altera)

Chapitre II-2 : Conception SoPC (Altera) Chapitre II-2 : Conception SoPC (Altera) Plan 1. 2. Processeurs embarqués : conception conjointe System on Programmable Chip = 1. 2. 3. Systèmes à base de Nios-II Le bus système Avalon Les périphériques

Plus en détail

2015 // 2016. des formations. programme. Retrouvez toutes ces informations sur enseirb-matmeca.bordeaux-inp.fr

2015 // 2016. des formations. programme. Retrouvez toutes ces informations sur enseirb-matmeca.bordeaux-inp.fr programme des formations Filière Électronique...2 Filière Informatique...3 Filière Mathématique et Mécanique...4 Filière Télécommunications...5 Filière Réseaux et Systèmes d Information...6 Filière Systèmes

Plus en détail

Extrait des Exploitations Pédagogiques

Extrait des Exploitations Pédagogiques Pédagogiques Module : Compétitivité et créativité CI Première : Compétitivité et créativité CI institutionnel : Développement durable et compétitivité des produits Support : Robot - O : Caractériser les

Plus en détail

4. Utilisation d un SGBD : le langage SQL. 5. Normalisation

4. Utilisation d un SGBD : le langage SQL. 5. Normalisation Base de données S. Lèbre slebre@unistra.fr Université de Strasbourg, département d informatique. Présentation du module Contenu général Notion de bases de données Fondements / Conception Utilisation :

Plus en détail

INITIATION AU LANGAGE C SUR PIC DE MICROSHIP

INITIATION AU LANGAGE C SUR PIC DE MICROSHIP COURS PROGRAMMATION INITIATION AU LANGAGE C SUR MICROCONTROLEUR PIC page 1 / 7 INITIATION AU LANGAGE C SUR PIC DE MICROSHIP I. Historique du langage C 1972 : naissance du C dans les laboratoires BELL par

Plus en détail

Gérer ses environnements de développement avec Vagrant RMLL 2012

Gérer ses environnements de développement avec Vagrant RMLL 2012 Gérer ses environnements de développement avec Vagrant RMLL 2012 1 Jean-Marc Fontaine Passionné de web depuis 1996, de PHP depuis 2000 et de musique depuis 1977 Consultant PHP chez Alter Way Ex-Président

Plus en détail

«Clustering» et «Load balancing» avec Zope et ZEO

«Clustering» et «Load balancing» avec Zope et ZEO «Clustering» et «Load balancing» avec Zope et ZEO IN53 Printemps 2003 1 Python : généralités 1989 : Guido Van Rossum, le «Python Benevolent Dictator for Life» Orienté objet, interprété, écrit en C Mêle

Plus en détail

Catalogue Produits. Version 1

Catalogue Produits. Version 1 Catalogue Produits Version 1 A propos d Actisense INTRODUCTION Interfaces et Sondes intelligentes Actisense. Des solutions plus intelligentes qui ont un sens. Actisense est synonyme d excellence dans le

Plus en détail

IFT1215 Introduction aux systèmes informatiques

IFT1215 Introduction aux systèmes informatiques Introduction aux circuits logiques de base IFT25 Architecture en couches Niveau 5 Niveau 4 Niveau 3 Niveau 2 Niveau Niveau Couche des langages d application Traduction (compilateur) Couche du langage d

Plus en détail

PROGRAMME DETAILLE. Parcours en première année en apprentissage. Travail personnel. 4 24 12 24 CC + ET réseaux

PROGRAMME DETAILLE. Parcours en première année en apprentissage. Travail personnel. 4 24 12 24 CC + ET réseaux PROGRAMME DETAILLE du Master IRS Parcours en première année en apprentissage Unités d Enseignement (UE) 1 er semestre ECTS Charge de travail de l'étudiant Travail personnel Modalités de contrôle des connaissances

Plus en détail

INTRODUCTION À PYRAMID Gaël Pasgrimaud @gawel_ Bearstech. Crédits: Blaise Laflamme

INTRODUCTION À PYRAMID Gaël Pasgrimaud @gawel_ Bearstech. Crédits: Blaise Laflamme INTRODUCTION À PYRAMID Gaël Pasgrimaud @gawel_ Bearstech Crédits: Blaise Laflamme Pyramid Pourquoi Pyramid Petit : ~ 5000 lignes de code Documenté : pratiquement tout est documenté Testé : 100% par des

Plus en détail

Chapitre 4 : Les mémoires

Chapitre 4 : Les mémoires 1. Introduction: Chapitre 4 : Les mémoires Nous savons que dans un ordinateur toutes les informations : valeur numérique, instruction, adresse, symbole (chiffre, lettre,... etc.) sont manipulées sous une

Plus en détail

Avignon 2010 Université virtuelle. Mohamed Morchid & Mickael Meynaud

Avignon 2010 Université virtuelle. Mohamed Morchid & Mickael Meynaud Avignon 2010 Université virtuelle Mohamed Morchid & Mickael Meynaud Plan de la présentation - Introduction aux mondes virtuels - Présentation du projet - Wonderland - Fonctionnement Projection du film

Plus en détail

DESIGN GRAPHIQUE GRAPHIC DESIGN

DESIGN GRAPHIQUE GRAPHIC DESIGN DESIGN GRAPHIQUE GRAPHIC DESIGN HIGHER NATIONAL DIPLOMA EN DESIGN GRAPHIQUE NIVEAU III (BAC + 2) - 120 ECTS Expertises : communication visuelle, identité visuelle, packaging, typographie, illustration,

Plus en détail

Outils de CAO. Ecole de microélectronique IN2P3. 12-15 octobre 2009, La Londe Les Maures. C. Colledani

Outils de CAO. Ecole de microélectronique IN2P3. 12-15 octobre 2009, La Londe Les Maures. C. Colledani Outils de CAO Ecole de microélectronique IN2P3 12-15 octobre 2009, La Londe Les Maures C. Colledani Réseau IAO-CAO électronique IN2P3 Mission: Doter les électroniciens de l'in2p3 des outils de conception

Plus en détail

Programmation de services en téléphonie sur IP

Programmation de services en téléphonie sur IP Programmation de services en téléphonie sur IP Présentation de projet mémoire Grégory Estienne Sous la supervision du Dr. Luigi Logrippo Introduction La téléphonie sur IP comme support à la programmation

Plus en détail

Sur un ordinateur portable ou un All-in-One tactile, la plupart des éléments mentionnés précédemment sont regroupés. 10) 11)

Sur un ordinateur portable ou un All-in-One tactile, la plupart des éléments mentionnés précédemment sont regroupés. 10) 11) 1/ Généralités : Un ordinateur est un ensemble non exhaustif d éléments qui sert à traiter des informations (documents de bureautique, méls, sons, vidéos, programmes ) sous forme numérique. Il est en général

Plus en détail

Construction et sécurisation d'un système Linux embarqué. Frédéric AIME faime@janua.fr 0 950 260 370

Construction et sécurisation d'un système Linux embarqué. Frédéric AIME faime@janua.fr 0 950 260 370 Construction et sécurisation d'un système Linux embarqué Frédéric AIME faime@janua.fr 0 950 260 370 Différentes approches Création d'une distribution From Scratch Nécessite un investissement intellectuel

Plus en détail

Evolution de l infrastructure transport

Evolution de l infrastructure transport Les réseaux optiques I Les réseaux optiques Jean-Paul GAUTIER, jpg@urec.cnrs.fr CNRS / UREC Une des grandes tendances de la fin des années 90 est la demande croissante en bande passante des réseaux d entreprises

Plus en détail

Vous êtes bien à la bonne présentation, c est juste que je trouvais que le titre de cette présentation étais un peu long,

Vous êtes bien à la bonne présentation, c est juste que je trouvais que le titre de cette présentation étais un peu long, Vous êtes bien à la bonne présentation, c est juste que je trouvais que le titre de cette présentation étais un peu long, en fait ça me faisait penser au nom d un certain projet gouvernemental je me suis

Plus en détail

Gregory Renard CTO Wygwam - Research and Innovation Manager Usilink Microsoft Regional Director - Most Valuable Professional

Gregory Renard CTO Wygwam - Research and Innovation Manager Usilink Microsoft Regional Director - Most Valuable Professional Gregory Renard CTO Wygwam - Research and Innovation Manager Usilink Microsoft Regional Director - Most Valuable Professional La notion du retour de loi accélérée! Les technologies de l'information (tous

Plus en détail

Hypervision et pilotage temps réel des réseaux IP/MPLS

Hypervision et pilotage temps réel des réseaux IP/MPLS Hypervision et pilotage temps réel des réseaux IP/MPLS J.M. Garcia, O. Brun, A. Rachdi, A. Al Sheikh Workshop autonomique 16 octobre 2014 Exemple d un réseau opérateur national 8 technologies : 2G / 3G

Plus en détail

CAHIER DES CHARGES D IMPLANTATION

CAHIER DES CHARGES D IMPLANTATION CAHIER DES CHARGES D IMPLANTATION Tableau de diffusion du document Document : Cahier des Charges d Implantation EVRP Version 6 Etabli par DCSI Vérifié par Validé par Destinataires Pour information Création

Plus en détail

Outils et logiciel libres pour les activités de R&D

Outils et logiciel libres pour les activités de R&D Plateau Technique Systèmes électroniques communicants Outils et logiciel libres pour les activités de &D Jean-Yves ADOEL juillet 2010 Le T ESITT est soutenu par ESITT Industrie - 2010 ESITT Industrie Nos

Plus en détail

Linux embarqué: une alternative à Windows CE?

Linux embarqué: une alternative à Windows CE? embarqué: une alternative à Windows CE? : une alternative à Windows CE Présentation Mangrove Systems Distribution embarqué Perspective WinCe / Questions Mangrove systems Créé en 2001 Soutien Soutien Ministère

Plus en détail

«clustering» et «load balancing» avec Zope et ZEO

«clustering» et «load balancing» avec Zope et ZEO IN53 Printemps 2003 «clustering» et «load balancing» avec Zope et ZEO Professeur : M. Mignot Etudiants : Boureliou Sylvain et Meyer Pierre Sommaire Introduction...3 1. Présentation générale de ZEO...4

Plus en détail

La Continuité d Activité

La Continuité d Activité La virtualisation VMware vsphere au service de La Continuité d Activité La virtualisation VMware vsphere La virtualisation et la Continuité d Activité La virtualisation et le Plan de Secours Informatique

Plus en détail

VLAN Virtual LAN. Introduction. II) Le VLAN. 2.1) Les VLAN de niveau 1 (Port-based VLAN)

VLAN Virtual LAN. Introduction. II) Le VLAN. 2.1) Les VLAN de niveau 1 (Port-based VLAN) VLAN Virtual LAN. I) Introduction. Ce document présente ce qu est un VLAN, les différents types de VLAN ainsi que les différentes utilisations possibles. II) Le VLAN. Un VLAN est un réseau logique et non

Plus en détail

Logiciels et Systèmes Embarqués :

Logiciels et Systèmes Embarqués : Logiciels et Systèmes Embarqués : Enjeux Economiques Défis Scientifiques et Directions de Travail Joseph Sifakis Laboratoire Verimag novembre 2002 plan Les Systèmes Embarqués L approche Centrée Système

Plus en détail

Sujet de thèse CIFRE RESULIS / LGI2P

Sujet de thèse CIFRE RESULIS / LGI2P Ecole des Mines d Alès Laboratoire de Génie Informatique et d Ingénierie de Production LGI2P Nîmes Sujet de thèse CIFRE RESULIS / LGI2P Titre Domaine De l ingénierie des besoins à l ingénierie des exigences

Plus en détail

Outils pour les réseaux de neurones et contenu du CD-Rom

Outils pour les réseaux de neurones et contenu du CD-Rom Outils pour les réseaux de neurones et contenu du CD-Rom Depuis le développement théorique des réseaux de neurones à la fin des années 1980-1990, plusieurs outils ont été mis à la disposition des utilisateurs.

Plus en détail

Introduction à NetCDF

Introduction à NetCDF Introduction à NetCDF École normale supérieure L3 géosciences 2014/2015 Lionel GUEZ guez@lmd.ens.fr Laboratoire de météorologie dynamique Explications préliminaires Deux distinctions générales sur les

Plus en détail

Stratégie Open Source et Présentation du Centre de recherche et d innovation sur le logiciel libre

Stratégie Open Source et Présentation du Centre de recherche et d innovation sur le logiciel libre Stratégie Open Source et Présentation du Centre de recherche et d innovation sur le logiciel libre 1 INTECH, GRENOBLE, 12/01/2010 Patrick MOREAU patrick.moreau@inria.fr Direction du Transfert et de l Innovation

Plus en détail

Visual Paradigm Contraintes inter-associations

Visual Paradigm Contraintes inter-associations Visual Paradigm Contraintes inter-associations Travail de Bachelor d'informaticien de gestion Partie C Présentation de Visual Paradigm 1 Présentation de Visual Paradigm For UML L objet du travail de Bachelor

Plus en détail

Catalogue Produits. 2011 v1

Catalogue Produits. 2011 v1 Catalogue Produits 2011 v1 A propos d Actisense INTRODUCTION Interfaces et Sondes intelligentes Actisense. Depuis plusieurs années Actisense est synonyme d excellence dans le domaine des sondes intelligentes,

Plus en détail

Mémo technique MODELES GENERIQUES DE SCORE DE CREDIT ou Le scoring de crédit «prêt à l emploi»

Mémo technique MODELES GENERIQUES DE SCORE DE CREDIT ou Le scoring de crédit «prêt à l emploi» Mémo technique MODELES GENERIQUES DE SCORE DE CREDIT ou Le scoring de crédit «prêt à l emploi» 46, rue de la Tour 75116 Paris tél. (33) 01 73 00 55 00 fax (33) 01 73 00 55 01 www.softcomputing.com Sommaire

Plus en détail

Initiation au binaire

Initiation au binaire Présenté par TryEngineering Objet de la leçon Cette leçon explique les principes du code binaire et ses applications possibles par les ingénieurs informaticiens. Dans cette leçon, les élèves réaliseront

Plus en détail

Ateliers Python+Qt : Premiers pas : S'installer pour PyQt... en quelques minutes sous Windows!

Ateliers Python+Qt : Premiers pas : S'installer pour PyQt... en quelques minutes sous Windows! Ateliers Python+Qt : Premiers pas : S'installer pour PyQt... en quelques minutes sous Windows! par X. HINAULT www.mon-club-elec.fr Tous droits réservés 2013. Document gratuit. Ce support PDF d'atelier

Plus en détail

Dispositif sur budget fédéral

Dispositif sur budget fédéral w w w. c n f e t p. c o m R é f o r m e B T S S I O Services Informatiques aux Organisations Dispositif sur budget fédéral 31 rue des Naudières 44400 REZE Tél. 02 40 32 88 00 Fax 02 40 84 12 95 contact@cnfetp-nantes.com

Plus en détail

Thomas Loubrieu (Ifremer) Small to Big Data. http://wwz.ifremer.fr/bigdata. 26 Novembre 2013, Ifremer, Brest

Thomas Loubrieu (Ifremer) Small to Big Data. http://wwz.ifremer.fr/bigdata. 26 Novembre 2013, Ifremer, Brest Thomas Loubrieu (Ifremer) Small to Big Data 26 Novembre 2013, Ifremer, Brest http://wwz.ifremer.fr/bigdata Small to Big data IFREMER/IDM/ISI T. Loubrieu Résumé A partir d'expériences en gestion de données

Plus en détail

BE-TME Questions série 0

BE-TME Questions série 0 Q1 Quels sont les mesures et éléments de protection d une ligne d amenée souterraine constituée par : a) un câble: b) une FO avec enveloppe métallique: R1 Q2 Complétez les éléments : 3) 6) 9) Dans le schéma

Plus en détail

Le logiciel pour le courtier d assurances

Le logiciel pour le courtier d assurances Le logiciel pour le courtier d assurances Introduction - Présentation 2 Intégration totale 3 Paperless Office 3 Traitement Unifié de l information 4 Outils commerciaux 5 Communication 6 Intégration AS/2

Plus en détail