TPTESTVHDL /05/2017. Commande PWM D un moteur

Dimension: px
Commencer à balayer dès la page:

Download "TPTESTVHDL /05/2017. Commande PWM D un moteur"

Transcription

1 Commande PWM D un moteur 1

2 Commande PWM d un moteur 1) But La modulation de largeur d impulsion permet de faire varier la vitesse d un moteur. On souhaite Réaliser grâce à un composant programmable du type FPGA une modulation de largeur d impulsion MLI ou PWM (pulse width modulation). La forme de l onde sera très simple et non optimisée pour réduire le taux d harmonique 2) Objectifs L étudiant devra être capable : - D élaborer un programme en langage VHDL afin de permettre une commande en PWM d un moteur. - De mettre en œuvre les dispositifs de test 3) Pré-requis - Cours sur le langage VHDL. 4) Schéma de câblage Vivado Câble USB FPGA ZYNQ Micro-usb host Carte ZYBO MCC + capteur M +9V Module PMOD HB5 2

3 5) Questions préliminaires (analyse fonctionnelle) TPTESTVHDL /05/ ) Quel nom est donné au montage permettant la conversion de l énergie pour le moteur (module PMODHB5 document annexe 1). 5.2) Quel type de capteur de vitesse ou de position est utilisé (document annexe 1). Donnez le principe de ce type de capteur 5.2) Donnez document réponse N 1 le rôle des broches du module PMODHB5. On souhaite analyser en langage VHDL La logique de commande permettant de piloter le moteur (bloc commande_0). Le schéma est le suivant : Le package IP commande permet de piloter le moteur. L entrée en1 permet de valider la rotation et l entrée sens permet de choisir le sens de rotation du moteur. On a indiqué le gisement des broches pour les connecteurs d extension de la carte zybo. 3

4 Le package IP dectsens permet de détecter le sens de rotation du moteur grâce aux signaux SA et SB du capteur de vitesse. 6) Partie N 1 : Réalisation du package IP commande et dectsens 6.1) On donne document annexe N 2 le code VHDL du package IP commande. A partir de ce code complétez les chronogrammes document réponse N 2 6.2) On donne document annexe N 3 le code VHDL du package IP dectsens. A partir de ce code, déterminez la fonction numérique réalisée par ce code. 6.3) Réalisez le câblage de la carte zybo du moteur et du module PMODHB5. 6.3) Ouvrir le projet moteur.xpr et réalisez sous vivado le bloc design page précédente. Synthétisez et programmez la carte zybo. 6.4) Vérifiez le fonctionnement de l ensemble. La vitesse du moteur reste constante, la valeur moyenne imposée aux bornes du moteur est proche de 9V. On souhaiter moduler la valeur moyenne aux bornes du moteur en utilisant le principe de la modulation de largeur d impulsion. On suppose que les perturbations sur l axe du moteur peuvent être négligées. Le schéma ci-dessous nous permettre de réaliser la modulation de largeur d impulsion 4

5 Le bus consigne permet de régler la largeur de l impulsion du signal sortie du package IP commande. Consigne (1) (0) Rapport cyclique du signal sortie 0 0 0% % % % 7) Partie N 2 : Réalisation de la commande PWM Ouvrir le projet moteur1.xpr et le bloc design du projet. Le package IP resetmli est un compteur naturel 8bits qui possède une entrée de reset asynchrone active au 0 logique. La sortie SRAZ sera au 1 logique lorsque le compteur atteindra la valeur de 254 et 255. Dans tous les autres cas la sortie SRAZ sera au 0 logique. Le compteur déclenchera sur un front montant de l entrée clk. 7.1) Réalisez le package IP resetmli en fournissant le code VHDL de ce package. La dernière étape est la réalisation du package IP MLI. On donne le code partiel du code VHDL (document réponse N 3). La partie de code à compléter doit respecter les fonctionnalités suivantes : Le mot consigne sera formée de la façon suivante : 7(MSB) (LSB) consigne cons(1) cons(0) La partie suivante du code doit permettre d élaborer la commande de la sortie outdec en respectant l algorithme suivant : 5

6 Si (contenu du compteur <= consigne) alors outcde 1 ; Sinon outcde 0 ; Fin si ; contenu du compteur contenu du compteur + 1 ; 7.2) En respectant les contraintes précédentes, complétez le document réponse N 3. Réalisez le package IP du bloc MLI. Validez par la simulation le package IP MLI 7.3) Complétez le bloc design (voir page N 4), synthétisez par la suite notre FPGA et programmez le. Vérifiez le bon fonctionnement du système. 7.4) Relevez les signaux de SA, SB, sortie en faisant varier la consigne, relevez le déphasage entre SA et SB lorsque l on change le sens de rotation du moteur. 6

7 Document réponse N 1 Nom de la broche Rôle DIR EN SA SB M+ M- 7

8 Document réponse N 2 cdesens t sens 1 enable etatcde 0 cde senable 8

9 Document réponse N 3 library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.std_logic_unsigned.all; --use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.Numeric_std.all; entity MLI is Port ( finmli : in STD_LOGIC; RAZ: in STD_LOGIC; clk : in STD_LOGIC; cons : in STD_LOGIC_VECTOR(1 downto 0); outcde : out STD_LOGIC); end MLI; architecture Behavioral of MLI is signal consigne : STD_LOGIC_VECTOR(7 downto 0); begin mli : process(clk,finmli,raz) variable comptage : integer range 0 to 255; begin if(raz='0') then comptage:=0;outcde<='0'; elsif(finmli='1') then comptage:=0;outcde<='0'; elsif (clk'event and clk='1') then end if; end process mli; end Behavioral; 9

10 Document annexe N 1 10

11 11

12 12

13 13

14 14

15 Document annexe N 2 Commande.vhd library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.std_logic_unsigned.all; use IEEE.Numeric_std.all; entity commande is Port ( cde : in STD_LOGIC; cdesens : in STD_LOGIC; enable : in STD_LOGIC; senable : out STD_LOGIC; sens : out STD_LOGIC); end commande; architecture Behavioral of commande is signal etatsens: bit; signal etatcde: bit; begin commande : process(cdesens,enable) begin if (cdesens'event and cdesens='1') then if (etatsens='0') then etatsens<='1'; else etatsens<='0'; sens<='0'; end if; end if; if (enable'event and enable='1') then if (etatcde='0') then etatcde<='1'; else etatcde<='0'; end if; end if; end process commande; senable<='1' when ((etatcde='1') and (cde='1')) else '0'; end Behavioral; sens<='1'; 15

16 Document annexe N 3 library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.std_logic_unsigned.all; use IEEE.Numeric_std.all; entity detcsens is Port ( INSA : in STD_LOGIC; INSB : in STD_LOGIC; detsens : out STD_LOGIC); end detcsens; architecture Behavioral of detcsens is begin commande : process(insa) begin if (INSA'event and INSA='1') then if (INSB='0') then detsens<='1'; dectsens.vhd else detsens<='0'; end if; end if; end process commande; end Behavioral; 16

17 17

18 18

Manipulations du laboratoire

Manipulations du laboratoire Manipulations du laboratoire 1 Matériel Les manipulations de ce laboratoire sont réalisées sur une carte électronique comprenant un compteur 4-bit asynchrone (74LS93) avec possibilité de déclenchement

Plus en détail

Le langage VHDL. Eduardo Sanchez EPFL

Le langage VHDL. Eduardo Sanchez EPFL Le langage VHDL Eduardo Sanchez EPFL Livres conseillés: John F. Wakerly Digital design (4th edition) Prentice Hall, 2005 Peter J. Ashenden The designer's guide to VHDL (3rd edition) Morgan Kaufmann, 2008

Plus en détail

SIN-FPGA DESCRIPTION PAR SCHEMA

SIN-FPGA DESCRIPTION PAR SCHEMA SIN-FPGA DESCRIPTION PAR SCHEMA Documents ressources: http://www.altera.com/literature/lit-index.html Introduction to Quartus II : intro_to_quartus2.pdf Documentation QUARTUS II : quartusii_handbook.pdf

Plus en détail

T. BLOTIN Lycée Paul-Eluard 93206 SAINT-DENIS

T. BLOTIN Lycée Paul-Eluard 93206 SAINT-DENIS T. BLOTIN Lycée Paul-Eluard 93206 SAINT-DENIS SOMMAIRE I. Le VHDL pour qui, pourquoi, quand, comment? A. Le VHDL!...... 1 B. Pourquoi un langage de description?...... 1 C. Les limites actuelles...... 2

Plus en détail

ARDUINO DOSSIER RESSOURCE POUR LA CLASSE

ARDUINO DOSSIER RESSOURCE POUR LA CLASSE ARDUINO DOSSIER RESSOURCE POUR LA CLASSE Sommaire 1. Présentation 2. Exemple d apprentissage 3. Lexique de termes anglais 4. Reconnaître les composants 5. Rendre Arduino autonome 6. Les signaux d entrée

Plus en détail

Algorithmique & programmation

Algorithmique & programmation Algorithmique & programmation Type structuré Article, Enregistrement, Structure Définition de nouveaux types!! On a vu les types simples "! entier, booléen, caractère, chaîne de caractères!! Comment gérer

Plus en détail

EPREUVE OPTIONNELLE d INFORMATIQUE CORRIGE

EPREUVE OPTIONNELLE d INFORMATIQUE CORRIGE EPREUVE OPTIONNELLE d INFORMATIQUE CORRIGE QCM Remarque : - A une question correspond au moins 1 réponse juste - Cocher la ou les bonnes réponses Barème : - Une bonne réponse = +1 - Pas de réponse = 0

Plus en détail

NIVEAU D'INTERVENTION DE LA PROGRAMMATION CONCURRENTE

NIVEAU D'INTERVENTION DE LA PROGRAMMATION CONCURRENTE NIVEAU D'INTERVENTION DE LA PROGRAMMATION CONCURRENTE Une application se construit par étapes 1) CAHIER DES CHARGES + ANALYSE FONCTIONNELLE = organisation fonctionnelle (QUE FAIRE) 2) ANALYSE OPERATIONNELLE

Plus en détail

Enseignement secondaire technique

Enseignement secondaire technique Enseignement secondaire technique Régime technique Division technique générale Cycle moyen Informatique 11TG Nombre de leçons: 2.0 Nombre minimal de devoirs: - Langue véhiculaire: / Remarque générale:

Plus en détail

Arbres binaires de recherche

Arbres binaires de recherche 1 arbre des comparaisons 2 recherche dichotomique l'arbre est recalculé à chaque recherche 2 5 3 4 7 9 1 6 1 2 3 4 5 6 7 9 10 conserver la structure d'arbre au lieu de la reconstruire arbre binaire de

Plus en détail

KL5121. Pour activer des sorties en fonction de la position d'un codeur

KL5121. Pour activer des sorties en fonction de la position d'un codeur KL5121 Pour activer des sorties en fonction de la position d'un codeur VERSION : 1.0 / PH DATE : 07 Février 2006 Sommaire Ce manuel explique de manière pratique les étapes successives pour mettre en œuvre

Plus en détail

Module Administration BD Chapitre 1 : Surcouche procédurale dans les SGBDS

Module Administration BD Chapitre 1 : Surcouche procédurale dans les SGBDS Module Administration BD Chapitre 1 : Surcouche procédurale dans les SGBDS 1. Introduction Nous allons aborder la notion de surcouche procédurale au sein des SGBDS relationnels tels que Oracle (PLSQL)

Plus en détail

QUESTION 1 {2 points}

QUESTION 1 {2 points} ELE4301 Systèmes logiques II Page 1 de 8 QUESTION 1 {2 points} En se servant de paramètres électriques donnés dans le Tableau 1 ci-dessous, on désire déterminer la fréquence d opération du compteur présenté

Plus en détail

Langage propre à Oracle basé sur ADA. Offre une extension procédurale à SQL

Langage propre à Oracle basé sur ADA. Offre une extension procédurale à SQL Cours PL/SQL Langage propre à Oracle basé sur ADA Offre une extension procédurale à SQL PL/SQL permet d utiliser un sous-ensemble du langage SQL des variables, des boucles, des alternatives, des gestions

Plus en détail

SYSTEME DE TELESURVEILLANCE VIDEO

SYSTEME DE TELESURVEILLANCE VIDEO SYSTEME DE TELESURVEILLANCE VIDEO Avril - Juin 98 Résumé des auteurs : Auteurs : Bertrand LASSERRE Responsables : P. KADIONIK Y. BERTHOUMIEU Nombre de pages : 68 Nombre de pages «Annexe» : 0 Remerciements

Plus en détail

Application 1- VBA : Test de comportements d'investissements

Application 1- VBA : Test de comportements d'investissements Application 1- VBA : Test de comportements d'investissements Notions mobilisées Chapitres 1 à 5 du cours - Exemple de récupération de cours en ligne 1ère approche des objets (feuilles et classeurs). Corps

Plus en détail

Durée estimée :1 journée Date de la réalisation : 2011. Description Fournisseur Référence Nombre PU HT LM35CZ, LM35AZ LM35DZ

Durée estimée :1 journée Date de la réalisation : 2011. Description Fournisseur Référence Nombre PU HT LM35CZ, LM35AZ LM35DZ 001 Titre : Mesure de température interfacée par carte Arduino Type de réalisation : montage électronique, de surveillance de température Concepteur : C. Rouviere Coordonnées : Laboratoire lbv villefranche/mer

Plus en détail

Conception Systèmes numériques VHDL et synthèse automatique des circuits

Conception Systèmes numériques VHDL et synthèse automatique des circuits Année 2011-2012 Conception Systèmes numériques VHDL et synthèse automatique des circuits Travaux pratiques WIDEMACV1 LAAS-CNRS 2011 Présentation du simulateur VHDL sous environnement Cadence Présentation

Plus en détail

Modules d automatismes simples

Modules d automatismes simples Modules d automatismes simples Solutions pour automatiser Modules d'automatismes Enfin, vraiment simple! Un concentré de solution Pour vos petites applications d'automatismes millenium gère : Temporisations

Plus en détail

Génie Logiciel avec Ada. 4 février 2013

Génie Logiciel avec Ada. 4 février 2013 Génie Logiciel 4 février 2013 Plan I. Généralités II. Structures linéaires III. Exceptions IV. Structures arborescentes V. Dictionnaires I. Principes II. Notions propres à la POO I. Principes Chapitre

Plus en détail

Notice d installation de la Centrale VIGIK DGM1

Notice d installation de la Centrale VIGIK DGM1 Notice d installation de la Centrale VIGIK DGM1 Version 3.5 NOTICE DE MONTAGE ET D UTILISATION N homologation : PS 200306-01 MS 200306-02 Informations préliminaires Présentation des possibilités de la

Plus en détail

Éléments d informatique Cours 3 La programmation structurée en langage C L instruction de contrôle if

Éléments d informatique Cours 3 La programmation structurée en langage C L instruction de contrôle if Éléments d informatique Cours 3 La programmation structurée en langage C L instruction de contrôle if Pierre Boudes 28 septembre 2011 This work is licensed under the Creative Commons Attribution-NonCommercial-ShareAlike

Plus en détail

1. PRESENTATION DU PROJET

1. PRESENTATION DU PROJET Bac STI2D Formation des enseignants Jean-François LIEBAUT Denis PENARD SIN 63 : Prototypage d un traitement de l information analogique et numérique (PSoC) 1. PRESENTATION DU PROJET Les systèmes d éclairage

Plus en détail

Examen Médian - 1 heure 30

Examen Médian - 1 heure 30 NF01 - Automne 2014 Examen Médian - 1 heure 30 Polycopié papier autorisé, autres documents interdits Calculatrices, téléphones, traducteurs et ordinateurs interdits! Utilisez trois copies séparées, une

Plus en détail

Une carte pour vos projets

Une carte pour vos projets ARDUINO Une carte pour vos projets CHRISTOPHE ULTRÉ [1] Les projets interdisciplinaires impliquent de faire l acquisition d un matériel adaptable et peu coûteux qui réponde à des problématiques communes,

Plus en détail

Conception Systèmes numériques VHDL et synthèse automatique des circuits

Conception Systèmes numériques VHDL et synthèse automatique des circuits Année 2008-2009 Conception Systèmes numériques VHDL et synthèse automatique des circuits Travaux pratiques Pentium4 Présentation du simulateur VHDL sous environnement Cadence Présentation de l outil Synopsys

Plus en détail

Module EC2b Programmation et Automatisation Bâtiment

Module EC2b Programmation et Automatisation Bâtiment Licence Professionnelle EGC-ITEB Energie - Génie Climatique: Intelligence Technique et Energétique du Bâtiment Module EC2b Programmation et Automatisation Bâtiment par Pr. Eddy BAJIC IUT Nancy Brabois

Plus en détail

3. SPÉCIFICATIONS DU LOGICIEL. de l'expression des besoins à la conception. Spécifications fonctionnelles Analyse fonctionnelle et méthodes

3. SPÉCIFICATIONS DU LOGICIEL. de l'expression des besoins à la conception. Spécifications fonctionnelles Analyse fonctionnelle et méthodes PLAN CYCLE DE VIE D'UN LOGICIEL EXPRESSION DES BESOINS SPÉCIFICATIONS DU LOGICIEL CONCEPTION DU LOGICIEL LA PROGRAMMATION TESTS ET MISE AU POINT DOCUMENTATION CONCLUSION C.Crochepeyre Génie Logiciel Diapason

Plus en détail

TRIGONOMETRIE Algorithme : mesure principale

TRIGONOMETRIE Algorithme : mesure principale TRIGONOMETRIE Algorithme : mesure principale Déterminer la mesure principale d un angle orienté de mesure! 115" Problèmatique : Appelons θ la mesure principale, θ et! 115" sont deux mesures du même angle,

Plus en détail

//////////////////////////////////////////////////////////////////// Administration bases de données

//////////////////////////////////////////////////////////////////// Administration bases de données ////////////////////// Administration bases de données / INTRODUCTION Système d informations Un système d'information (SI) est un ensemble organisé de ressources (matériels, logiciels, personnel, données

Plus en détail

MICROCONTROLEURS PIC PROGRAMMATION EN C. V. Chollet - cours-pic-13b - 09/12/2012 Page 1 sur 44

MICROCONTROLEURS PIC PROGRAMMATION EN C. V. Chollet - cours-pic-13b - 09/12/2012 Page 1 sur 44 MICROCONTROLEURS PIC PROGRAMMATION EN C V. Chollet - cours-pic-13b - 09/12/2012 Page 1 sur 44 Chapitre 1 GENERALITES 1 DEFINITION Un microcontrôleur est un microprocesseur RISC (Reduced Instruction Set

Plus en détail

Probabilités. Rappel : trois exemples. Exemple 2 : On dispose d un dé truqué. On sait que : p(1) = p(2) =1/6 ; p(3) = 1/3 p(4) = p(5) =1/12

Probabilités. Rappel : trois exemples. Exemple 2 : On dispose d un dé truqué. On sait que : p(1) = p(2) =1/6 ; p(3) = 1/3 p(4) = p(5) =1/12 Probabilités. I - Rappel : trois exemples. Exemple 1 : Dans une classe de 25 élèves, il y a 16 filles. Tous les élèves sont blonds ou bruns. Parmi les filles, 6 sont blondes. Parmi les garçons, 3 sont

Plus en détail

COMMANDER la puissance par MODULATION COMMUNIQUER

COMMANDER la puissance par MODULATION COMMUNIQUER SERIE 4 MODULER - COMMUNIQUER Fonctions du programme abordées : COMMANDER la puissance par MODULATION COMMUNIQUER Objectifs : Réaliser le câblage d un modulateur d après le schéma de puissance et de commande,

Plus en détail

Conférence sur les microcontroleurs.

Conférence sur les microcontroleurs. Conférence sur les microcontroleurs. Le microcontrôleur Les besoins et le développement. Vers 1970, pour des calculs (calculatrice). Le premier est le 4004 de Intel, 90K. La technologie. Les 2 principales

Plus en détail

CYLINDRE ET BÉQUILLE ÉLECTRONIQUES À BADGE Aperio E100 & C100

CYLINDRE ET BÉQUILLE ÉLECTRONIQUES À BADGE Aperio E100 & C100 SYSTÈMES D IDENTIFICATION CYLINDRE ET BÉQUILLE ÉLECTRONIQUES À BADGE Aperio E100 & C100 CONTRÔLE D ACCÈS SANS FIL RFID Contrôle des portes en temps réel. Fonctionnalités Aperio : le chaînon manquant grâce

Plus en détail

Atelier C TIA Portal CTIA04 : Programmation des automates S7-300 Opérations numériques

Atelier C TIA Portal CTIA04 : Programmation des automates S7-300 Opérations numériques Atelier C TIA Portal CTIA04 : Programmation des automates S7-300 Opérations numériques CTIA04 Page 1 1. Les types de données sous S7 300 Il existe plusieurs types de données utilisées pour la programmation

Plus en détail

Plan du Travail. 2014/2015 Cours TIC - 1ère année MI 30

Plan du Travail. 2014/2015 Cours TIC - 1ère année MI 30 Plan du Travail Chapitre 1: Internet et le Web : Définitions et historique Chapitre 2: Principes d Internet Chapitre 3 : Principaux services d Internet Chapitre 4 : Introduction au langage HTML 2014/2015

Plus en détail

Corrigé des TD 1 à 5

Corrigé des TD 1 à 5 Corrigé des TD 1 à 5 1 Premier Contact 1.1 Somme des n premiers entiers 1 (* Somme des n premiers entiers *) 2 program somme_entiers; n, i, somme: integer; 8 (* saisie du nombre n *) write( Saisissez un

Plus en détail

2011-2012 TP D AUTOMATISME TS2 CIRA (première partie)

2011-2012 TP D AUTOMATISME TS2 CIRA (première partie) 2011-2012 TP D AUTOMATISME TS2 CIRA (première partie) YVES DARCQ LYCEE COUFFIGNAL 2011-2012 TABLE DES MATIERES I] LANGAGES DE PROGRAMMATION DES API... 2 1] ELEMENTS COMMUNS AUX DIFFERENTS LANGAGES... 2

Plus en détail

Algorithmique des Systèmes Répartis Protocoles de Communications

Algorithmique des Systèmes Répartis Protocoles de Communications Algorithmique des Systèmes Répartis Protocoles de Communications Master Informatique Dominique Méry Université de Lorraine 1 er avril 2014 1 / 70 Plan Communications entre processus Observation et modélisation

Plus en détail

Organigramme / Algorigramme Dossier élève 1 SI

Organigramme / Algorigramme Dossier élève 1 SI Organigramme / Algorigramme Dossier élève 1 SI CI 10, I11 ; CI 11, I10 C24 Algorithmique 8 février 2009 (13:47) 1. Introduction Un organigramme (ou algorigramme, lorsqu il est plus particulièrement appliqué

Plus en détail

Surveillance de Scripts LUA et de réception d EVENT. avec LoriotPro Extended & Broadcast Edition

Surveillance de Scripts LUA et de réception d EVENT. avec LoriotPro Extended & Broadcast Edition Surveillance de Scripts LUA et de réception d EVENT avec LoriotPro Extended & Broadcast Edition L objectif de ce document est de présenter une solution de surveillance de processus LUA au sein de la solution

Plus en détail

Débuter avec EXPRESS. Alain Plantec. 1 Schema 2

Débuter avec EXPRESS. Alain Plantec. 1 Schema 2 Débuter avec EXPRESS Alain Plantec Table des matières 1 Schema 2 2 Entité 2 2.1 Attributs simples................................................ 2 2.2 Attributs collection...............................................

Plus en détail

Présentation du PL/SQL

Présentation du PL/SQL I Présentation du PL/ Copyright Oracle Corporation, 1998. All rights reserved. Objectifs du Cours A la fin de ce chapitre, vous saurez : Décrire l intéret du PL/ Décrire l utilisation du PL/ pour le développeur

Plus en détail

STS SE. FreeRTOS. Programmation réseau WIFI. Programmation réseau. Socket Tcp. FlyPort smart Wi-Fi 802.11 module

STS SE. FreeRTOS. Programmation réseau WIFI. Programmation réseau. Socket Tcp. FlyPort smart Wi-Fi 802.11 module François SCHNEIDER Lycée Victor-Hugo BESANÇON. STS SE FreeRTOS Programmation réseau WIFI Programmation réseau Socket Tcp FlyPort smart Wi-Fi 802.11 module Prérequis : langage C, connaissance réseau : Ip,

Plus en détail

Quoi de neuf en LabVIEW FPGA 2010?

Quoi de neuf en LabVIEW FPGA 2010? Quoi de neuf en LabVIEW FPGA 2010? Yannick DEGLA Ingénieur d Application Fonctionnalités de LabVIEW FPGA 2010 Nœud d intégration d IP - Importer directement des fichiers.xco de Xilinx ou vos propres VHDL

Plus en détail

RÉALISATION ET MISE AU POINT D UN SYSTÈME DE TÉLÉSURVEILLANCE VIDÉO

RÉALISATION ET MISE AU POINT D UN SYSTÈME DE TÉLÉSURVEILLANCE VIDÉO E.N.S.E.R.B. Romain COMBELAS Frédéric BONNIN 3ème année. Option TIC MÉMOIRE DE FIN D ÉTUDES RÉALISATION ET MISE AU POINT D UN SYSTÈME DE TÉLÉSURVEILLANCE VIDÉO Encadré par : M. Patrice KADIONIK M. Yannick

Plus en détail

Ordonnancement temps réel

Ordonnancement temps réel Ordonnancement temps réel Laurent.Pautet@enst.fr Version 1.5 Problématique de l ordonnancement temps réel En fonctionnement normal, respecter les contraintes temporelles spécifiées par toutes les tâches

Plus en détail

Les Triggers SQL. Didier DONSEZ. Université de Valenciennes Institut des Sciences et Techniques de Valenciennes donsez@univ-valenciennes.

Les Triggers SQL. Didier DONSEZ. Université de Valenciennes Institut des Sciences et Techniques de Valenciennes donsez@univ-valenciennes. Les Triggers SQL Didier DONSEZ Université de Valenciennes Institut des Sciences et Techniques de Valenciennes donsez@univ-valenciennes.fr 1 Sommaire Motivations Trigger Ordre Trigger Ligne Condition Trigger

Plus en détail

Ordinateurs, Structure et Applications

Ordinateurs, Structure et Applications Ordinateurs, Structure et Applications Cours 19, Le USB Etienne Tremblay Université Laval, Hiver 2012 Cours 19, p.1 USB signifie Universal Serial Bus USB Le USB a été conçu afin de remplacer le port série

Plus en détail

epowerswitch 8XM+ Fiche technique

epowerswitch 8XM+ Fiche technique Fiche technique L est le successeur de l epowerswitch 8XM. Il peut piloter jusqu à 136 prises secteur et dispose de borniers à vis amovibles en face avant pour une connexion aisée et rapide de capteurs

Plus en détail

IPBX 02 : TP MISE EN OEUVRE RTC ET TOIP. Ce sujet comporte 4 pages de texte suivi du corrigé

IPBX 02 : TP MISE EN OEUVRE RTC ET TOIP. Ce sujet comporte 4 pages de texte suivi du corrigé IPBX 02 : TP MISE EN OEUVRE RTC ET TOIP Ce sujet comporte 4 pages de texte suivi du corrigé 1. PRÉSENTATION Il est demandé de mettre en œuvre le IPBX OBOX dans la configuration suivante : *** Extérieur

Plus en détail

Comprendre l industrie 4.0 et ses défis

Comprendre l industrie 4.0 et ses défis Comprendre l industrie 4.0 et ses défis Haguenau, 14.01.2015 René Ohlmann Directeur ADDI-DATA GmbH 1 ADDI-DATA 2015 everything possible/shutterstock.com Comprendre l industrie 4.0 et ses défis 1. Industrie

Plus en détail

1. Structure d un programme C. 2. Commentaire: /*..texte */ On utilise aussi le commentaire du C++ qui est valable pour C: 3.

1. Structure d un programme C. 2. Commentaire: /*..texte */ On utilise aussi le commentaire du C++ qui est valable pour C: 3. 1. Structure d un programme C Un programme est un ensemble de fonctions. La fonction "main" constitue le point d entrée pour l exécution. Un exemple simple : #include int main() { printf ( this

Plus en détail

L exclusion mutuelle distribuée

L exclusion mutuelle distribuée L exclusion mutuelle distribuée L algorithme de L Amport L algorithme est basé sur 2 concepts : L estampillage des messages La distribution d une file d attente sur l ensemble des sites du système distribué

Plus en détail

Configurer la supervision pour une base MS SQL Server Viadéis Services

Configurer la supervision pour une base MS SQL Server Viadéis Services Configurer la supervision pour une base MS SQL Server Référence : 11588 Version N : 2.2 : Créé par : Téléphone : 0811 656 002 Sommaire 1. Création utilisateur MS SQL Server... 3 2. Configuration de l accès

Plus en détail

Micro-ordinateurs, informations, idées, trucs et astuces utiliser le Bureau à distance

Micro-ordinateurs, informations, idées, trucs et astuces utiliser le Bureau à distance Micro-ordinateurs, informations, idées, trucs et astuces utiliser le Bureau à distance Auteur : François CHAUSSON Date : 8 février 2008 Référence : utiliser le Bureau a distance.doc Préambule Voici quelques

Plus en détail

La norme Midi et JavaSound

La norme Midi et JavaSound La norme Midi et JavaSound V 1.0-14.2.2006 (update Fev. 07) Jacques Ferber LIRMM - Université Montpellier II 161 rue Ada 34292 Montpellier Cedex 5 Email: ferber@lirmm.fr Home page: www.lirmm.fr/~ferber

Plus en détail

Cours Informatique Master STEP

Cours Informatique Master STEP Cours Informatique Master STEP Bases de la programmation: Compilateurs/logiciels Algorithmique et structure d'un programme Programmation en langage structuré (Fortran 90) Variables, expressions, instructions

Plus en détail

Catalogue Catalogue IntelliSense

Catalogue Catalogue IntelliSense Catalogue Catalogue Intelligence prédictive pour systèmes pneumatiques , une plateforme unique qui combine des capteurs, des vérins et un logiciel pour fournir en temps réel les données de performance

Plus en détail

TABLE DES MATIÈRES 1. DÉMARRER ISIS 2 2. SAISIE D UN SCHÉMA 3 & ' " ( ) '*+ ", ##) # " -. /0 " 1 2 " 3. SIMULATION 7 " - 4.

TABLE DES MATIÈRES 1. DÉMARRER ISIS 2 2. SAISIE D UN SCHÉMA 3 & '  ( ) '*+ , ##) #  -. /0  1 2  3. SIMULATION 7  - 4. TABLE DES MATIÈRES 1. DÉMARRER ISIS 2 2. SAISIE D UN SCHÉMA 3! " #$ % & ' " ( ) '*+ ", ##) # " -. /0 " 1 2 " 3' & 3. SIMULATION 7 0 ( 0, - 0 - " - & 1 4. LA SOURIS 11 5. LES RACCOURCIS CLAVIER 11 STI Electronique

Plus en détail

La carte à puce. Jean-Philippe Babau

La carte à puce. Jean-Philippe Babau La carte à puce Jean-Philippe Babau Département Informatique INSA Lyon Certains éléments de cette présentation sont issus de documents Gemplus Research Group 1 Introduction Carte à puce de plus en plus

Plus en détail

MAC-TC: programmation d un plate forme DSP-FPGA

MAC-TC: programmation d un plate forme DSP-FPGA MAC-TC: programmation d un plate forme DSP-FPGA Tanguy Risset avec l aide de: Nicolas Fournel, Antoine Fraboulet, Claire Goursaud, Arnaud Tisserand - p. 1/17 Plan Partie 1: le système Lyrtech Introduction

Plus en détail

.NET - Classe de Log

.NET - Classe de Log .NET - Classe de Log Classe permettant de Logger des données Text Dans tous les projets, il est indispensable de pouvoir stocker de l'information textuelle : Pour le suivi des erreurs Pour le suivi de

Plus en détail

VIII- Circuits séquentiels. Mémoires

VIII- Circuits séquentiels. Mémoires 1 VIII- Circuits séquentiels. Mémoires Maintenant le temps va intervenir. Nous avions déjà indiqué que la traversée d une porte ne se faisait pas instantanément et qu il fallait en tenir compte, notamment

Plus en détail

Cahier des charges. driver WIFI pour chipset Ralink RT2571W. sur hardware ARM7

Cahier des charges. driver WIFI pour chipset Ralink RT2571W. sur hardware ARM7 Cahier des charges driver WIFI pour chipset Ralink RT2571W sur hardware ARM7 RevA 13/03/2006 Création du document Sylvain Huet RevB 16/03/2006 Fusion des fonctions ARP et IP. SH Modification des milestones

Plus en détail

Bases de données avancées

Bases de données avancées Bases de données avancées Jean-Yves Antoine LI - Université François Rabelais de Tours Jean-Yves.Antoine@univ-tours.fr UFR Sciences et Techniques IUP SIR Blois Master 1 Bases de Données avancées IUP Blois,

Plus en détail

Master4Light. Caractérisation Optique et Electrique des Sources Lumineuses. Equipement 2-en-1 : source de courant et spectrophotomètre

Master4Light. Caractérisation Optique et Electrique des Sources Lumineuses. Equipement 2-en-1 : source de courant et spectrophotomètre DSF-M4L-Rev2.0-04/12 Master4Light Equipement 2-en-1 : source de courant et spectrophotomètre Interface graphique logicielle, connexion USB Configuration personnalisable : laboratoire et in-situ http://www.majantys.com

Plus en détail

SNT4U16 - Initiation à la programmation 2014-2015. TD - Dynamique de POP III - Fichiers sources

SNT4U16 - Initiation à la programmation 2014-2015. TD - Dynamique de POP III - Fichiers sources SNT4U16 - Initiation à la programmation Licence SVT 2 ème année 2014-2015 TD - Dynamique de POP III - Fichiers sources contacts : mathias.gauduchon@univ-amu.fr, melika.baklouti@univ-amu.fr, xavier.giraud@univ-amu.fr,

Plus en détail

L identification par radio fréquence principe et applications

L identification par radio fréquence principe et applications L identification par radio fréquence principe et applications Présentée par Abdelatif Bouchouareb École de technologie supérieure, Montréal Qc Novembre 2007 1 Les différents systèmes d identification 2

Plus en détail

PRESENTATION RESSOURCES. Christian Dupaty BTS Systèmes Numériques Lycée Fourcade Gardanne Académie d Aix Marseille

PRESENTATION RESSOURCES. Christian Dupaty BTS Systèmes Numériques Lycée Fourcade Gardanne Académie d Aix Marseille PRESENTATION RESSOURCES Christian Dupaty BTS Systèmes Numériques Lycée Fourcade Gardanne Académie d Aix Marseille 1) Introduction, Objectifs et Intentions Le BTS SN (Systèmes Numériques) intègre la formation

Plus en détail

Problèmes liés à la concurrence

Problèmes liés à la concurrence ENS Cachan Problématique Difficile de gérer la concurrence : Pas toujours facile d avoir des fonctions réentrantes. Risque de race condition : ex : x :=x+1 x :=x+1 On voudrait des blocs d instructions

Plus en détail

Solutions en auto-consommation

Solutions en auto-consommation Solutions en auto-consommation Solar-Log et auto-consommation Les solutions Solar-Log pour les projets en auto-consommation Avec des avantages multiples, l autoconsommation prend peu à peu une place importante

Plus en détail

Préparer un état de l art

Préparer un état de l art Préparer un état de l art Khalil DRIRA LAAS-CNRS, Toulouse Unité de recherche ReDCAD École Nationale d ingénieurs de Sfax Étude de l état de l art? Une étude ciblée, approfondie et critique des travaux

Plus en détail

Astra Elite AM/3 Manuel d'installation

Astra Elite AM/3 Manuel d'installation 1) Caractéristiques techniques Astra Elite AM/3 Manuel d'installation Alimentation : - Tension : 9 à 16 V- - Consommation : 33 ma repos/40 ma en alarme - Ondulation : 2 V c à c à 12 V- Canal Hyperfréquence

Plus en détail

COMMUNICATION ENTRE DEUX ORDINATEURS PAR LASER MODULE EN CODE MORSE OU BINAIRE.

COMMUNICATION ENTRE DEUX ORDINATEURS PAR LASER MODULE EN CODE MORSE OU BINAIRE. COMMUNICATION ENTRE DEUX ORDINATEURS PAR LASER MODULE EN CODE MORSE OU BINAIRE. RESUME le fichier exécutable «morsebinaire_win_orphygts II_v2.exe», est un logiciel de démonstration permettant de faire

Plus en détail

Systèmes d identification par radiofréquences industriels (RFID) RFID ICODE haute fréquence à 13,56 MHz avec interface EtherNet/IP

Systèmes d identification par radiofréquences industriels (RFID) RFID ICODE haute fréquence à 13,56 MHz avec interface EtherNet/IP Systèmes d identification par radiofréquences industriels (RFID) RFID ICODE haute fréquence à 13,56 MHz avec interface EtherNet/IP COMPOSANTS D UN SYSTÈME RFID Bloc d interface Reçoit des données de l

Plus en détail

Concept de machine virtuelle

Concept de machine virtuelle Concept de machine virtuelle Chap. 5: Machine virtuelle Alain Sandoz Semestre été 2007 1 Introduction: Java Virtual Machine Machine Virtuelle Java: qu est-ce que c est? c est la spécification d une machine

Plus en détail

Twincat PLC Temperature Controller. Régulation de Température à l aide de TwinCAT PLC.

Twincat PLC Temperature Controller. Régulation de Température à l aide de TwinCAT PLC. Twincat PLC Temperature Controller Régulation de Température à l aide de TwinCAT PLC. VERSION : 1.0 / JYL DATE : 28 Novembre 2005 1 Installation...4 2 Présentation :...4 3 Schéma fonctionnel :...5 4 Générateur

Plus en détail

Cours de Génie Logiciel

Cours de Génie Logiciel Cours de Génie Logiciel Sciences-U Lyon Diagrammes UML (2) http://www.rzo.free.fr Pierre PARREND 1 Avril 2005 Sommaire Les Diagrammes UML Diagrammes de Collaboration Diagrammes d'etats-transitions Diagrammes

Plus en détail

1 Démarrer... 3 1.1 L écran Isis...3 1.2 La boite à outils...3 1.2.1 Mode principal... 4 1.2.2 Mode gadget...4 1.2.3 Mode graphique...

1 Démarrer... 3 1.1 L écran Isis...3 1.2 La boite à outils...3 1.2.1 Mode principal... 4 1.2.2 Mode gadget...4 1.2.3 Mode graphique... 1 Démarrer... 3 1.1 L écran Isis...3 1.2 La boite à outils...3 1.2.1 Mode principal... 4 1.2.2 Mode gadget...4 1.2.3 Mode graphique... 4 2 Quelques actions... 5 2.1 Ouvrir un document existant...5 2.2

Plus en détail

Dossier technique. Présentation du bus DMX et Utilisation des options EL13 / EL14 ERM AUTOMATISMES INDUSTRIELS 1 LE PROTOCOLE DMX 2

Dossier technique. Présentation du bus DMX et Utilisation des options EL13 / EL14 ERM AUTOMATISMES INDUSTRIELS 1 LE PROTOCOLE DMX 2 ERM AUTOMATISMES INDUSTRIELS 280 Rue Edouard Daladier 84973 CARPENTRAS Cedex Tél : 04 90 60 05 68 - Fax : 04 90 60 66 26 Site : http://www.erm-automatismes.com/ E-Mail : Contact@erm-automatismes.com 1

Plus en détail

Bases de Données Avancées PL/SQL

Bases de Données Avancées PL/SQL 1/170 Bases de Données Avancées Thierry Hamon Bureau H202 - Institut Galilée Tél. : 33 1.48.38.35.53 Bureau 150 LIM&BIO EA 3969 Université Paris 13 - UFR Léonard de Vinci 74, rue Marcel Cachin, F-93017

Plus en détail

Boîtier de contrôle et de commande avec EV 3/2 voies intégrée

Boîtier de contrôle et de commande avec EV 3/2 voies intégrée A B C Boîtier de contrôle et de commande avec EV 3/2 voies intégrée Conception Le boîtier de contrôle / commande type GEMÜ avec électrovanne pilote 3/2 voies intégrée pour les actionneurs pneumatiques

Plus en détail

Master d'informatique 1ère année. Réseaux et protocoles. Architecture : les bases

Master d'informatique 1ère année. Réseaux et protocoles. Architecture : les bases Master d'informatique 1ère année Réseaux et protocoles Architecture : les bases Bureau S3-203 Mailto : alexis.lechervy@unicaen.fr D'après un cours de Jean Saquet Réseaux physiques LAN : Local Area Network

Plus en détail

ID 15 l alternative intelligente au système de code à barres. Le système d identification ID 15 de Rexroth

ID 15 l alternative intelligente au système de code à barres. Le système d identification ID 15 de Rexroth ID 15 l alternative intelligente au système de code à barres Le système d identification ID 15 de Rexroth 2 Identification des pièces sans erreur même dans les conditions les plus difficiles Le système

Plus en détail

Hubert & Bruno Lundi 12 octobre 2009 SAINT-QUENTIN (02)

Hubert & Bruno Lundi 12 octobre 2009 SAINT-QUENTIN (02) Hubert & Bruno Lundi 12 octobre 2009 SAINT-QUENTIN (02) Ne rien livrer au hasard, c est économiser du travail Pont Sainte Maxence(O C est quoi USB? Comment ça marche? Les standards? La technique en détail

Plus en détail

Tout sur l USB L USB (Universal Serial Bus) a été élaboré en 1996 par Intel, Compaq,Digital,IBM,Microsoft,NEC et NorthTelec (USB 1.0).

Tout sur l USB L USB (Universal Serial Bus) a été élaboré en 1996 par Intel, Compaq,Digital,IBM,Microsoft,NEC et NorthTelec (USB 1.0). Tout sur l USB L USB (Universal Serial Bus) a été élaboré en 1996 par Intel, Compaq,Digital,IBM,Microsoft,NEC et NorthTelec (USB 1.0). Deux systèmes munis d un port (entrée-sortie) sont reliés par un câble.

Plus en détail

LA MESURE INDUSTRIELLE

LA MESURE INDUSTRIELLE E02 LA MESURE INDUSTRIELLE 20 Heures Technicien responsable de la maintenance Approfondir les techniques de mesure; Prendre en compte l aspect métrologie. Connaître les limites et les facteurs d influences

Plus en détail

NUGELEC. NOTICE D'UTILISATION DU B.A.A.S. du type Ma - STI / MaME - STI. pages. 1 introduction 2. 2 encombrement 3 fixation

NUGELEC. NOTICE D'UTILISATION DU B.A.A.S. du type Ma - STI / MaME - STI. pages. 1 introduction 2. 2 encombrement 3 fixation NOTICE D'UTILISATION DU du type Ma - / MaME - pages 1 introduction 2 2 encombrement 3 fixation 3 installation 3 à 5 raccordement 4 fonctionnement 5 à 6 contrôle, essai 5 caractéristiques 7 techniques 6

Plus en détail

ACTIVITÉ DE PROGRAMMATION

ACTIVITÉ DE PROGRAMMATION ACTIVITÉ DE PROGRAMMATION The purpose of the Implementation Process is to realize a specified system element. ISO/IEC 12207 Sébastien Adam Une introduction 2 Introduction Ø Contenu Utilité de l ordinateur,

Plus en détail

Le bus USB. I) Introduction : II) Architecture du bus USB :

Le bus USB. I) Introduction : II) Architecture du bus USB : Le bus USB I) Introduction : Le bus USB est comme son nom l'indique un bus série (Universal Serial Bus). Le bus USB s'est répandu de façon très significative ces dernières années, que ce soit dans les

Plus en détail

GPA770 Microélectronique appliquée Exercices série A

GPA770 Microélectronique appliquée Exercices série A GPA770 Microélectronique appliquée Exercices série A 1. Effectuez les calculs suivants sur des nombres binaires en complément à avec une représentation de 8 bits. Est-ce qu il y a débordement en complément

Plus en détail

Partie I : Créer la base de données. Année universitaire 2008/2009 Master 1 SIIO Projet Introduction au Décisionnel, Oracle

Partie I : Créer la base de données. Année universitaire 2008/2009 Master 1 SIIO Projet Introduction au Décisionnel, Oracle Année universitaire 2008/2009 Master 1 SIIO Projet Introduction au Décisionnel, Oracle Ce projet comporte trois parties sur les thèmes suivants : création de base de donnée, requêtes SQL, mise en œuvre

Plus en détail

CNAM - CRA Nancy 2000/2001. Génie Logiciel. Jacques Lonchamp DEUXIEME PARTIE. Les techniques de spécification.

CNAM - CRA Nancy 2000/2001. Génie Logiciel. Jacques Lonchamp DEUXIEME PARTIE. Les techniques de spécification. CNAM - CRA Nancy 2000/2001 Génie Logiciel Jacques Lonchamp DEUXIEME PARTIE Les techniques de spécification. 1. Introduction Tout produit complexe à construire doit être spécifié ; par exemple un pont de

Plus en détail

Mini_guide_Isis.pdf le 23/09/2001 Page 1/14

Mini_guide_Isis.pdf le 23/09/2001 Page 1/14 1 Démarrer...2 1.1 L écran Isis...2 1.2 La boite à outils...2 1.2.1 Mode principal...3 1.2.2 Mode gadgets...3 1.2.3 Mode graphique...3 2 Quelques actions...4 2.1 Ouvrir un document existant...4 2.2 Sélectionner

Plus en détail

TP Contraintes - Triggers

TP Contraintes - Triggers TP Contraintes - Triggers 1. Préambule Oracle est accessible sur le serveur Venus et vous êtes autorisés à accéder à une instance licence. Vous utiliserez l interface d accés SQL*Plus qui permet l exécution

Plus en détail

JOG : une approche haut niveau des systèmes embarqués via Armadeus et Java

JOG : une approche haut niveau des systèmes embarqués via Armadeus et Java JOG : une approche haut niveau des systèmes embarqués via Armadeus et Java Resumé Olivier Reynet 1, Jean-Christophe Le Lann 1, Benoît Clément 1 1 ENSIETA, 2, rue François Verny, 29200 Brest - France olivier.reynet@no-spam@ensieta.fr

Plus en détail

Table des matières. 1. Installation de VMware ESXI 4... 3. 1.1. Pré-requis... 3. 1.2. Installation... 3

Table des matières. 1. Installation de VMware ESXI 4... 3. 1.1. Pré-requis... 3. 1.2. Installation... 3 Table des matières 1. Installation de VMware ESXI 4.... 3 1.1. Pré-requis... 3 1.2. Installation... 3 1.2.1. Panneau de configuration du serveur ESXI... 4 1.2.2. Configuration du mot de passe «Root»....

Plus en détail

Algorithmique et programmation : les bases (VBA) Corrigé

Algorithmique et programmation : les bases (VBA) Corrigé PAD INPT ALGORITHMIQUE ET PROGRAMMATION 1 Cours VBA, Semaine 1 mai juin 2006 Corrigé Résumé Ce document décrit l écriture dans le langage VBA des éléments vus en algorithmique. Table des matières 1 Pourquoi

Plus en détail