CIRCUITS NUMERIQUES PROGRAMMABLES

Dimension: px
Commencer à balayer dès la page:

Download "CIRCUITS NUMERIQUES PROGRAMMABLES"

Transcription

1 CIRCUITS NUMERIQUES PROGRAMMABLES (PROGRAMMABLE LOGIC DEVICES) Circuits numériques (re)-configurables par l utilisateur, réalisant des fonctions logiques (combinatoires + séquentielles) de façon matérielle

2 Contenu de la présentation 1) Introduction des différentes familles 2) SPLD (Simple Programmable Logic Device) 3) EPLD / CPLD (Erasable / Complex Programmable Logic Device) 4) FPGA (Field Programmable Gate Array)

3 1 - Différentes approches en électronique numérique: circuits discrets (TTL, CMOS,...) circuits numériques programmables ASICs contrainte logique discrète circuits numériques programmables ASIC vitesse densité coût (pour très grosses quantités) temps de développement temps de simulation et de prototypage temps de fabrication facilité de mise en œuvre modification ultérieure risque d approvisionnement support de l outil de développement consommation

4 Différentes familles de circuits numériques programmables PAL (Programmable Array Logic) ou SPLD (Simple Programmable Logic Device) famille la plus ancienne (introduite par AMD) technologie: fusibles (One Time Programmable) EEPROM (appelation GAL (Generic Array Logic), marque déposée par LATTICE Semiconductor, ou PAL CMOS) principaux constructeurs: ATMEL, LATTICE Semiconductor, CYPRESS... EPLD (Erasable Programmable Logic Device) ou CPLD (Complex Programmable Logic Device) technologie: EPROM (effaçable par UV) EEPROM (effaçable électriquement) principaux constructeurs: ALTERA, XILINX... FPGA (Field Programmable Gate Array) technologie: anti-fusibles (non volatile) SRAM (volatile) principaux constructeurs: ALTERA, XILINX, ACTEL...

5 2 - SPLD (Simple Programmable Logic Devices) circuit numérique programmable le + simple: ROM entrées: lignes d adresse sorties: lignes de données exemple: 4 mots de 4 bits I 1 I 1. I 0 I 1. I 0 I 0 I 1. I 0 ET OU I 1. I 0 exemple: O 0 = (I 1. I 0 ) + (I 1. I 0 ) décodeur (fixe) Sorties = fonctions logiques des entrées O 3 O 2 O 1 O 0 = I 1 XOR I 0 Décodeur complet pour les entrées (tous les termes produits sont générés) Mal adapté à la réalisation de fonctions logiques

6 PAL Structure de base: Plan de ET-OU programmable permettant de réaliser n importe quelle fonction combinatoire de N entrées I 1 sortie principe de base a b c d I 0 I 1 sortie a b c d I 0 notation utilisée I 1 sortie I 0 ex: 10 entrées ROM: 2 10 = 1024 portes ET à 10 entrées PAL: quelques portes ET à 20 entrées réalisation d un OU EXCLUSIF

7 Structure d un PAL I 3 I 2 I 1 I 0 réseau «OU» (fixe) 1 entrée supplémentaire 2 entrées supplémentaires par porte ET mais nombre de portes ET inchangé exemple: chaque sortie = somme de 4 termes produits fixe réseau «ET» (programmable) O 3 O 2 O 1 O 0

8 Structure d une PROM I 3 I 2 I 1 I 0 réseau «OU» (programmable) 1 entrée supplémentaire la taille du réseau de ET est doublée sortie = n importe quelle fonction logique des entrées réseau «ET» (fixe) O 3 O 2 O 1 O 0 problème: tous les termes produits sont générés

9 Structure d un PLA I 3 I 2 I 1 I 0 réseau «OU» (programmable) structure la + souple n est plus sur le marché actuellement réseau «ET» (programmable) O 3 O 2 O 1 O 0

10 PAL versatile (V-PAL) par programmation: sortie combinatoire pure (active haut ou active bas) ou synchronisée sur horloge (inversée ou non) référence: exemple:pal22v10 nombred entrées nombredesorties structuredesortie (V=versatile,H=combinatoirehaut,etc...)

11 résumé sur les PAL: - bien indiqués pour les fonctions combinatoires complexes, ne nécessitant pas ou peu de logique séquentielle (exemple: décodeurs,...) - fréquence maximale des signaux d entrée/sortie 250 MHz - nombre maximum d entrées/sorties: consommation 100 ma - prix faible 1 à 5 (selon la complexité et la vitesse) - gamme de composants «figée» (progressivement remplacée par EPLD, FPGA)

12 3 - Les EPLD Introduits dans le milieu des années 80 par ALTERA Principe de base: plusieurs PAL rassemblés sur un même circuit + interconnection programmable Limitation des PAL: structure du plan logique programmable croît trop rapidement avec le nombre d Entrées/Sorties PAL: 10 à 20 entrées sorties EPLD: jusque 272 entrées-sorties 1 seul PAL plusieurs petits PAL n entrées I n-1... I 0 I 3... I 0 exemple: 2 4 entrées I 7... I O i 2n entrées par porte ET (beaucoup non utilisées) O i... O j

13 Architecture typique d un EPLD (ex: ALTERA MAX) 3 types de ressources principales - PIA (Programmable Interconnect Array) - réseau de LAB (Logic Array Blocs) - réseau de IOB (Input Output Blocs) 1 LAB = 16 macrocellules PIA: connecte n importe quelle entrée ou sortie d un LAB à un autre LAB TIMING PREVISIBLE

14 - Programmable Interconnect Array pattes d entrées / sorties + sorties des macrocellules PIA: connecte n importe quelle entrée ou sortie d un LAB à un autre LAB TIMING PREVISIBLE (différence majeure avec les FPGA)

15 - Structure d une macro-cellule exemple: ALTERA MAX 7000 configurable en bascule D, T, JK ou SR à la base: 5 termes - produits par macrocellule suffisant dans la majorité des cas si besoin: + 15 autres termes - produits en provenance des macrocellules voisines meilleure utilisation des ressources et plus de flexibilité que les PALs horloge globale (commune) (logique synchrone) ou horloge locale (individuelle) (logique asynchrone)

16 horloge locale / horloge globale Q1 CK1 compteur CK Q X A B A - B S CK2 Q2 D registre CK Q Q3 CK1 Q1 Q2 1 er cas: CK2 <> CK1 valeur lue erronée 2 ème cas: CK2 = CK1 valeur lue correcte

17 - Structure d un IOB exemple: ALTERA MAX 7000E/S

18 Méthodologie de conception créé par le concepteur réalisé par le logiciel de développement (QUARTUS)

19 résumé sur les EPLD (valeurs typiques prises pour familles MAX ALTERA) - Principaux constructeurs: ALTERA, LATTICE, XILINX,... - Délais de propagation prédictibles et indépendants du routage - Fréquence d horloge max: 300 MHz pour compteur 16 bits - Nombre maximal de macro-cellules: Nombre maximal d entrées/sorties: 272 (en boîtier BGA 324-Pin) - Technologie: EEPROM (configuration sauvegardée hors alimentation) - Consommation: 50 à 300 ma (selon fréquence de fonctionnement, nombre de cellules actives, etc...) - Prix: de 4 à 100

20 4 - Les FPGA Introduits dans le milieu des années 80 par XILINX Structure s apparentant aux ASIC de type «Gates-array» Gate-array: réseau de transistors et de portes pré-fabriquées dont les connexions sont réalisées en fonction de l application souhaitée FPGA: réseau de blocs logiques configurables + interconnexions configurables par l utilisateur TRES FORTE CAPACITE D INTEGRATION («petits» blocs de base en grand nombre) bloc logique configurable bloc E/S configurable

21 Idée de départ: l approche classique des PAL, GAL, EPLD peut entraîner un gaspillage des ressources ressources perdues si inutilisées portes ET / OU remplacées par Look-Up Tables

22 Technologies utilisées mémoire de configuration SRAM (reprogrammable) anti-fusibles (One Time Programmable) XILINX (Virtex, Spartan,...) ACTEL ALTERA (Stratix, Cyclone,...) QUICKLOGIC......

23 Temps de propagation des signaux dans un FPGA: dépend du placement / routage => non prédictible (<> EPLD) élément logique de base exemple: structure STRATIX

24 ressources principales dans un FPGA 1 - élément logique de base 1LUT=1ROM16x1bit cellulessimples(ex:actel) (baséessurarbresdemultiplexeurs) -faiblesurfaceoccupéeparlesblocs -routageimportantnécessairepour fonctionscomplexes 1basculeD cellulescomplexes(ex:altera) (1LUT+1basculeDparcellule) -bienadaptéàlaréalisationdefonctionscomplexes

25 2 - bloc d entrée / sortie ex:alteracyclone + possibilité de résistance de pull-up + 2 valeurs possibles de slew-rate + niveaux TTL ou CMOS, etc... + possibilité de sortie drain ouvert interfaçagepossibleavec mémoireddr(doubledatarate) ex:alterastratix

26 3 - routage entrées dédiées pour les signaux globaux (clock, clear, output enable,...)

27 4 - ressources annexes mémoire blocs RAM - réalisation de mémoire interne de taille configurable - réalisation de fonctions logiques complexes (exemple: multiplieur 4 bits x 4 bits, résultat sur 8 bits pré-calculé et stocké dans la mémoire) famille FLEX10K

28 blocs DSP principales fonctions de traitement du signal (filtres FIR, filtres IIR, corrélateurs, etc...) utilisent multiplieurs + additionneurs ou accumulateurs blocs spécialisés avec fonctions pré-câblées

29 Résumé sur les FPGA - Principaux constructeurs: ALTERA, XILINX,... - Délais de propagation non-prédictibles et dépendants du routage - Fréquence d horloge max: ~ 500 MHz - Nombre maximal de macro-cellules: ~ Taille mémoire disponible maximale: ~ 5 Mb - Nombre maximal d entrées/sorties: ~1200 (en boîtier BGA 40 x 40) - Technologie: SRAM (configuration à charger à la mise sous tension) ou OTP - Fonctions spécifiques parfois disponibles (mémoire, DSP, PLL,...) - Consommation: qq 100 ma (selon fréquence de fonctionnement, nombre de cellules actives, etc...) - Prix: de 10 à qq 100

Conception de circuits numériques et architecture des ordinateurs

Conception de circuits numériques et architecture des ordinateurs Conception de circuits numériques et architecture des ordinateurs Frédéric Pétrot et Sébastien Viardot Année universitaire 2011-2012 Structure du cours C1 C2 C3 C4 C5 C6 C7 C8 C9 C10 C11 C12 Codage des

Plus en détail

QUESTION 1 {2 points}

QUESTION 1 {2 points} ELE4301 Systèmes logiques II Page 1 de 8 QUESTION 1 {2 points} En se servant de paramètres électriques donnés dans le Tableau 1 ci-dessous, on désire déterminer la fréquence d opération du compteur présenté

Plus en détail

DOCUMENT PROTEGE PAR UN DROIT DE COPIE. CPLD ou FPGA Critères de choix. page 1

DOCUMENT PROTEGE PAR UN DROIT DE COPIE. CPLD ou FPGA Critères de choix. page 1 Une des caractéristiques du domaine des circuits programmables est d être résolument moderne, tirant parti des évolutions concernant les procédés technologiques, la propriété intellectuelle(ip), l Internet,

Plus en détail

On distingue deux grandes catégories de mémoires : mémoire centrale (appelée également mémoire interne)

On distingue deux grandes catégories de mémoires : mémoire centrale (appelée également mémoire interne) Mémoire - espace destiné a recevoir, conserver et restituer des informations à traiter - tout composant électronique capable de stocker temporairement des données On distingue deux grandes catégories de

Plus en détail

Chapitre 4 : Les mémoires

Chapitre 4 : Les mémoires 1. Introduction: Chapitre 4 : Les mémoires Nous savons que dans un ordinateur toutes les informations : valeur numérique, instruction, adresse, symbole (chiffre, lettre,... etc.) sont manipulées sous une

Plus en détail

FONCTION COMPTAGE BINAIRE ET DIVISION DE FRÉQUENCE

FONCTION COMPTAGE BINAIRE ET DIVISION DE FRÉQUENCE I/ GÉNÉRALITÉS I.1/ Fonction Un compteur binaire est utilisé : -pour compter un certain nombre d'évènements binaires -pour diviser la fréquence d'un signal logique par 2 m Page 1 FONCTION COMPTAGE BINAIRE

Plus en détail

VIII- Circuits séquentiels. Mémoires

VIII- Circuits séquentiels. Mémoires 1 VIII- Circuits séquentiels. Mémoires Maintenant le temps va intervenir. Nous avions déjà indiqué que la traversée d une porte ne se faisait pas instantanément et qu il fallait en tenir compte, notamment

Plus en détail

Introduction à l architecture des ordinateurs. Adrien Lebre Décembre 2007

Introduction à l architecture des ordinateurs. Adrien Lebre Décembre 2007 Introduction à l architecture des ordinateurs Adrien Lebre Décembre 2007 Plan - partie 1 Vue d ensemble La carte mère Le processeur La mémoire principal Notion de bus Introduction à l architecture des

Plus en détail

ELP 304 : Électronique Numérique. Cours 1 Introduction

ELP 304 : Électronique Numérique. Cours 1 Introduction ELP 304 : Électronique Numérique Cours 1 Introduction Catherine Douillard Dépt Électronique Les systèmes numériques : généralités (I) En électronique numérique, le codage des informations utilise deux

Plus en détail

Fiche technique CPU 314SC/DPM (314-6CG13)

Fiche technique CPU 314SC/DPM (314-6CG13) Fiche technique CPU 314SC/DPM (3146CG13) Données techniques N de commande 3146CG13 Type CPU 314SC/DPM Information générale Note Caractéristiques SPEEDBus Technologie SPEED7 24 x DI, 16 x DO, 8 x DIO, 4

Plus en détail

Conférence sur les microcontroleurs.

Conférence sur les microcontroleurs. Conférence sur les microcontroleurs. Le microcontrôleur Les besoins et le développement. Vers 1970, pour des calculs (calculatrice). Le premier est le 4004 de Intel, 90K. La technologie. Les 2 principales

Plus en détail

Hiérarchie matériel dans le monde informatique. Architecture d ordinateur : introduction. Hiérarchie matériel dans le monde informatique

Hiérarchie matériel dans le monde informatique. Architecture d ordinateur : introduction. Hiérarchie matériel dans le monde informatique Architecture d ordinateur : introduction Dimitri Galayko Introduction à l informatique, cours 1 partie 2 Septembre 2014 Association d interrupteurs: fonctions arithmétiques élémentaires Elément «NON» Elément

Plus en détail

Chapitre 1 : Introduction aux méthodologies de conception et de vérification pour SE

Chapitre 1 : Introduction aux méthodologies de conception et de vérification pour SE Chapitre 1 : Introduction aux méthodologies de conception et de vérification pour SE 1. Rappel de ce qu est un SE 2. Conception au niveau système (ESL) Méthodologie de conception (codesign logiciel/matériel)

Plus en détail

Quoi de neuf en contrôle/commande et systèmes embarqués (RIO, WSN...)?

Quoi de neuf en contrôle/commande et systèmes embarqués (RIO, WSN...)? Quoi de neuf en contrôle/commande et systèmes embarqués (RIO, WSN...)? Mathieu PACE National Instruments, Ingénieur d applications L architecture RIO se développe Processeur FPGA E/S E/S E/S personnalisées

Plus en détail

Lecteur de carte à puce LCPM1 SOMMAIRE

Lecteur de carte à puce LCPM1 SOMMAIRE SOMMAIRE I Différents types de cartes p2 1.1- Carte magnétique 1.2- Carte II Les cartes s. p3 2.1- Introduction 2.2- Constitution III Les familles de cartes s. p6 3.1- Les cartes à mémoire simple 3.2-

Plus en détail

Conception Systèmes numériques VHDL et synthèse automatique des circuits

Conception Systèmes numériques VHDL et synthèse automatique des circuits Année 2008-2009 Conception Systèmes numériques VHDL et synthèse automatique des circuits Travaux pratiques Pentium4 Présentation du simulateur VHDL sous environnement Cadence Présentation de l outil Synopsys

Plus en détail

Sur un ordinateur portable ou un All-in-One tactile, la plupart des éléments mentionnés précédemment sont regroupés. 10) 11)

Sur un ordinateur portable ou un All-in-One tactile, la plupart des éléments mentionnés précédemment sont regroupés. 10) 11) 1/ Généralités : Un ordinateur est un ensemble non exhaustif d éléments qui sert à traiter des informations (documents de bureautique, méls, sons, vidéos, programmes ) sous forme numérique. Il est en général

Plus en détail

SYSTEME DE PALPAGE A TRANSMISSION RADIO ETUDE DU RECEPTEUR (MI16) DOSSIER DE PRESENTATION. Contenu du dossier :

SYSTEME DE PALPAGE A TRANSMISSION RADIO ETUDE DU RECEPTEUR (MI16) DOSSIER DE PRESENTATION. Contenu du dossier : SYSTEME DE PALPAGE A TRANSMISSION RADIO ETUDE DU RECEPTEUR (MI16) DOSSIER DE PRESENTATION Contenu du dossier : 1. PRESENTATION DU SYSTEME DE PALPAGE A TRANSMISSION RADIO....1 1.1. DESCRIPTION DU FABRICANT....1

Plus en détail

MICROCONTROLEURS PIC PROGRAMMATION EN C. V. Chollet - cours-pic-13b - 09/12/2012 Page 1 sur 44

MICROCONTROLEURS PIC PROGRAMMATION EN C. V. Chollet - cours-pic-13b - 09/12/2012 Page 1 sur 44 MICROCONTROLEURS PIC PROGRAMMATION EN C V. Chollet - cours-pic-13b - 09/12/2012 Page 1 sur 44 Chapitre 1 GENERALITES 1 DEFINITION Un microcontrôleur est un microprocesseur RISC (Reduced Instruction Set

Plus en détail

Architecture des ordinateurs TD1 - Portes logiques et premiers circuits

Architecture des ordinateurs TD1 - Portes logiques et premiers circuits Architecture des ordinateurs TD1 - Portes logiques et premiers circuits 1 Rappel : un peu de logique Exercice 1.1 Remplir la table de vérité suivante : a b a + b ab a + b ab a b 0 0 0 1 1 0 1 1 Exercice

Plus en détail

Alcatel OmniPCX Enterprise TSC-IP V1 (4098RE)

Alcatel OmniPCX Enterprise TSC-IP V1 (4098RE) Alcatel OmniPCX Enterprise TSC-IP V1 (4098RE) NOTE : Les spécifications Produit contenues dans ce document peuvent évoluer sans information préalable. Les produits et services décrits dans ce document

Plus en détail

Fiche technique CPU 315SN/PN (315-4PN33)

Fiche technique CPU 315SN/PN (315-4PN33) Fiche technique CPU 315SN/PN (315-4PN33) Données techniques N de commande 315-4PN33 Information générale Note - Caractéristiques SPEED-Bus - Données techniques de l'alimentation Alimentation (valeur nominale)

Plus en détail

T500 DUAlTACH. JAQUET T500 DualTach Instrument de mesure et de surveillance équipé de 2 entrées fréquence TACHYMETRE 2 CANAUX

T500 DUAlTACH. JAQUET T500 DualTach Instrument de mesure et de surveillance équipé de 2 entrées fréquence TACHYMETRE 2 CANAUX 02-09 T500 DUAlTACH JAQUET T500 DualTach Instrument de mesure et de surveillance équipé de 2 entrées fréquence JAQUET T500 DualTach Instrument multi canal de mesure et de surveillance pour applications

Plus en détail

Conception Systèmes numériques VHDL et synthèse automatique des circuits

Conception Systèmes numériques VHDL et synthèse automatique des circuits Année 2011-2012 Conception Systèmes numériques VHDL et synthèse automatique des circuits Travaux pratiques WIDEMACV1 LAAS-CNRS 2011 Présentation du simulateur VHDL sous environnement Cadence Présentation

Plus en détail

EPREUVE OPTIONNELLE d INFORMATIQUE CORRIGE

EPREUVE OPTIONNELLE d INFORMATIQUE CORRIGE EPREUVE OPTIONNELLE d INFORMATIQUE CORRIGE QCM Remarque : - A une question correspond au moins 1 réponse juste - Cocher la ou les bonnes réponses Barème : - Une bonne réponse = +1 - Pas de réponse = 0

Plus en détail

Présentation Module logique Zelio Logic 0 Interface de communication

Présentation Module logique Zelio Logic 0 Interface de communication c 1 2 3 4 5 6 7 8 9 1 + 0 # = Présentation Module logique Zelio Logic 0 Présentation L offre communication de la gamme Zelio Logic est principalement dédiée à la surveillance ou à la télécommande de machines

Plus en détail

AMBUS IS Collecteur d impulsions M-Bus

AMBUS IS Collecteur d impulsions M-Bus AMBUS IS Collecteur d impulsions M-Bus Application Collecteur d impulsions pour la connexion d un compteur à émetteur d impulsions à des systèmes M-Bus ou pour la transmission de signaux à des systèmes

Plus en détail

SIN-FPGA DESCRIPTION PAR SCHEMA

SIN-FPGA DESCRIPTION PAR SCHEMA SIN-FPGA DESCRIPTION PAR SCHEMA Documents ressources: http://www.altera.com/literature/lit-index.html Introduction to Quartus II : intro_to_quartus2.pdf Documentation QUARTUS II : quartusii_handbook.pdf

Plus en détail

Logique séquentielle

Logique séquentielle Bascules et logique séquentielle aniel Etiemble de@lri.fr Logique séquentielle Logique séquentielle Le système a des «états» ans un système séquentiel Éléments de mémorisation Les sorties dépendent des

Plus en détail

IFT1215 Introduction aux systèmes informatiques

IFT1215 Introduction aux systèmes informatiques Introduction aux circuits logiques de base IFT25 Architecture en couches Niveau 5 Niveau 4 Niveau 3 Niveau 2 Niveau Niveau Couche des langages d application Traduction (compilateur) Couche du langage d

Plus en détail

Initiation au HPC - Généralités

Initiation au HPC - Généralités Initiation au HPC - Généralités Éric Ramat et Julien Dehos Université du Littoral Côte d Opale M2 Informatique 2 septembre 2015 Éric Ramat et Julien Dehos Initiation au HPC - Généralités 1/49 Plan du cours

Plus en détail

Informatique Industrielle Année 2004-2005. Architecture des ordinateurs Note de cours T.Dumartin

Informatique Industrielle Année 2004-2005. Architecture des ordinateurs Note de cours T.Dumartin Informatique Industrielle Année 2004-2005 Architecture des ordinateurs Note de cours T.Dumartin 1 GENERALITES 5 1.1 INTRODUCTION 5 1.2 QU ENTEND-T-ON PAR ARCHITECTURE? 5 1.3 QU EST CE QU UN MICROPROCESSEUR?

Plus en détail

Encoder 1.60. Encoder 1 sur 15. Codification fil par étage 15 étages max. + 2 flèches + signal de mouvement. Raccordements 0.1 mm²...

Encoder 1.60. Encoder 1 sur 15. Codification fil par étage 15 étages max. + 2 flèches + signal de mouvement. Raccordements 0.1 mm²... Displays ACCESSOIRES AFFICHEURS Encoder Encoder 1 sur 1 Le dispositif Encoder est nécessaire pour une codification 1 fil par étage avec des afficheurs conçus pour code binaire. Le dispositif Encoder convertit

Plus en détail

Modélisation physique des cellules logiques... Modèles pour le placement routage, le format "LEF"

Modélisation physique des cellules logiques... Modèles pour le placement routage, le format LEF Modélisation physique des cellules logiques... Modèles pour le placement routage, le format "LEF" Yves Mathieu Plan Introduction Technologie Macros Conclusion 2/21 FC Backend ASIC Yves Mathieu Library

Plus en détail

Contribution à la conception par la simulation en électronique de puissance : application à l onduleur basse tension

Contribution à la conception par la simulation en électronique de puissance : application à l onduleur basse tension Contribution à la conception par la simulation en électronique de puissance : application à l onduleur basse tension Cyril BUTTAY CEGELY VALEO 30 novembre 2004 Cyril BUTTAY Contribution à la conception

Plus en détail

xdsl Digital Suscriber Line «Utiliser la totalité de la bande passante du cuivre»

xdsl Digital Suscriber Line «Utiliser la totalité de la bande passante du cuivre» xdsl Digital Suscriber Line «Utiliser la totalité de la bande passante du cuivre» Le marché en France ~ 9 millions d abonnés fin 2005 ~ 6 millions fin 2004 dont la moitié chez l opérateur historique et

Plus en détail

Eléments de spécification des systèmes temps réel Pierre-Yves Duval (cppm)

Eléments de spécification des systèmes temps réel Pierre-Yves Duval (cppm) Eléments de spécification des systèmes temps réel Pierre-Yves Duval (cppm) Ecole d informatique temps réel - La Londes les Maures 7-11 Octobre 2002 - Evénements et architectures - Spécifications de performances

Plus en détail

TD Architecture des ordinateurs. Jean-Luc Dekeyser

TD Architecture des ordinateurs. Jean-Luc Dekeyser TD Architecture des ordinateurs Jean-Luc Dekeyser Fiche 1 Nombres de l informatique Exercice 1 Une entreprise désire réaliser la sauvegarde de ses données sur un site distant. Le volume de données à sauvegarder

Plus en détail

Série D65/D75/D72 Afficheurs digitaux modulaires

Série D65/D75/D72 Afficheurs digitaux modulaires Série D65/D75/D72 Afficheurs digitaux modulaires Afficheurs digitaux modulaires Afficheurs digitaux individuels La série D65/D75/D72 représente une vaste gamme de modules d affichage numériques, hexadécimaux

Plus en détail

Prise en main. Prise en main - 0

Prise en main. Prise en main - 0 Prise en main 0404 Prise en main - 0 1- Introduction Creative Professional Merci d avoir choisi le Digital Audio System 0404 d E-MU. Nous avons conçu ce produit E-MU pour qu il soit logique, intuitif et

Plus en détail

Organisation des Ordinateurs

Organisation des Ordinateurs Organisation des Ordinateurs Bernard Boigelot E-mail : boigelot@montefiore.ulg.ac.be URL : http://www.montefiore.ulg.ac.be/~boigelot/ http://www.montefiore.ulg.ac.be/~boigelot/cours/org/ 1 Chapitre 1 Les

Plus en détail

Concevoir son microprocesseur

Concevoir son microprocesseur Concevoir son microprocesseur structure des systèmes logiques Jean-Christophe Buisson Collection Technosup Ellipses Avant-propos Ce livre s adresse aux étudiants en informatique de licence et maîtrise,

Plus en détail

Electronique Numérique

Electronique Numérique Electronique Numérique 1er tome Systèmes combinatoires Etienne Messerli Yves Meyer Septembre 2010 Version 1.4 Mise à jour de ce manuel La base du présent manuel a été écrit par M. Yves Meyer de l'école

Plus en détail

qwertyuiopasdfghjklzxcvbnmqwerty uiopasdfghjklzxcvbnmqwertyuiopasd fghjklzxcvbnmqwertyuiopasdfghjklzx cvbnmqwertyuiopasdfghjklzxcvbnmq

qwertyuiopasdfghjklzxcvbnmqwerty uiopasdfghjklzxcvbnmqwertyuiopasd fghjklzxcvbnmqwertyuiopasdfghjklzx cvbnmqwertyuiopasdfghjklzxcvbnmq qwertyuiopasdfghjklzxcvbnmqwerty uiopasdfghjklzxcvbnmqwertyuiopasd fghjklzxcvbnmqwertyuiopasdfghjklzx COURS DE PERFECTIONNEMENT cvbnmqwertyuiopasdfghjklzxcvbnmq L ordinateur et Windows 2014-2015 wertyuiopasdfghjklzxcvbnmqwertyui

Plus en détail

Principes de base d'une alarme Anti intrusion

Principes de base d'une alarme Anti intrusion Principes de base d'une alarme Anti intrusion 1 Présentation Ce cours a pour but de vous apprendre les principes de base d'une alarme. Toutes les alarmes aussi sophistiquées soit elles utilisent ces principes.

Plus en détail

Potentiels de la technologie FPGA dans la conception des systèmes. Avantages des FPGAs pour la conception de systèmes optimisés

Potentiels de la technologie FPGA dans la conception des systèmes. Avantages des FPGAs pour la conception de systèmes optimisés Potentiels de la technologie FPGA dans la conception des systèmes Avantages des FPGAs pour la conception de systèmes optimisés Gérard FLORENCE Lotfi Guedria Agenda 1. Le CETIC en quelques mots 2. Générateur

Plus en détail

Les liaisons SPI et I2C

Les liaisons SPI et I2C DAMÉCOURT BENJAMIN AVRIL 28 Liaisons synchrones Les liaisons SPI et I2C Face arrière d un imac : trois ports USB, un port Firewire 4 et un port Firewire 8 CHRONOLOGIE ANNÉES 7 La liaison SPI et la création

Plus en détail

La norme Midi et JavaSound

La norme Midi et JavaSound La norme Midi et JavaSound V 1.0-14.2.2006 (update Fev. 07) Jacques Ferber LIRMM - Université Montpellier II 161 rue Ada 34292 Montpellier Cedex 5 Email: ferber@lirmm.fr Home page: www.lirmm.fr/~ferber

Plus en détail

Leçon 1 : Les principaux composants d un ordinateur

Leçon 1 : Les principaux composants d un ordinateur Chapitre 2 Architecture d un ordinateur Leçon 1 : Les principaux composants d un ordinateur Les objectifs : o Identifier les principaux composants d un micro-ordinateur. o Connaître les caractéristiques

Plus en détail

Tests de performance du matériel

Tests de performance du matériel 3 Tests de performance du matériel Après toute la théorie du dernier chapitre, vous vous demandez certainement quelles sont les performances réelles de votre propre système. En fait, il y a plusieurs raisons

Plus en détail

MACHINE A SOUDER MANUEL D UTILISATION

MACHINE A SOUDER MANUEL D UTILISATION MACHINE A SOUDER (Réf ME056) MANUEL D UTILISATION France DETECTION SERVICES ZA LA CIGALIERE 2 84250 LE THOR Tél. 04.90.33.75.14 Fax : 04.90.33.75.17 Contact: contact@fdspro.com Web site: fdspro.com 1 Affichage

Plus en détail

Université de La Rochelle. Réseaux TD n 6

Université de La Rochelle. Réseaux TD n 6 Réseaux TD n 6 Rappels : Théorème de Nyquist (ligne non bruitée) : Dmax = 2H log 2 V Théorème de Shannon (ligne bruitée) : C = H log 2 (1+ S/B) Relation entre débit binaire et rapidité de modulation :

Plus en détail

Routage Statique. Protocoles de Routage et Concepts. Version 4.0. 2007 Cisco Systems, Inc. All rights reserved. Cisco Public 1

Routage Statique. Protocoles de Routage et Concepts. Version 4.0. 2007 Cisco Systems, Inc. All rights reserved. Cisco Public 1 Routage Statique Protocoles de Routage et Concepts Version 4.0 1 Objectifs Définir le rôle général d'un routeur dans les réseaux. Décrire les réseaux directement connectés et les différentes interfaces

Plus en détail

Conception de Systèmes de Communications Numériques

Conception de Systèmes de Communications Numériques Conception de Systèmes de Communications Numériques CSCN Markus Muck, Xavier Miet Markus.Muck@motorola.com Motorola Labs Paris (CRM) -1 - Motorola Labs CRM Paris Motorola consacre chaque année environ

Plus en détail

Modules d automatismes simples

Modules d automatismes simples Modules d automatismes simples Solutions pour automatiser Modules d'automatismes Enfin, vraiment simple! Un concentré de solution Pour vos petites applications d'automatismes millenium gère : Temporisations

Plus en détail

212 Erreur accès anti- Echo. 214 Erreur démarrage vérif DSP. 215 Erreur accès trame IC. 216 Erreur DSP carte MSG. 217 Erreur données Carte MSG

212 Erreur accès anti- Echo. 214 Erreur démarrage vérif DSP. 215 Erreur accès trame IC. 216 Erreur DSP carte MSG. 217 Erreur données Carte MSG Liste s et de solutions Le tableau ci-dessous contient les erreurs et leurs solutions. Lorsqu'une erreur avec le code "*" survient dans l'ip-pbx, le voyant ALARM de la face frontale du meuble de base s'allume

Plus en détail

GPA770 Microélectronique appliquée Exercices série A

GPA770 Microélectronique appliquée Exercices série A GPA770 Microélectronique appliquée Exercices série A 1. Effectuez les calculs suivants sur des nombres binaires en complément à avec une représentation de 8 bits. Est-ce qu il y a débordement en complément

Plus en détail

Éléments d'architecture des ordinateurs

Éléments d'architecture des ordinateurs Chapitre 1 Éléments d'architecture des ordinateurs Machines take me by surprise with great frequency. Alan Turing 1.1 Le Hardware Avant d'attaquer la programmation, il est bon d'avoir quelques connaissances

Plus en détail

THEME 1 : L ORDINATEUR ET SON ENVIRONNEMENT. Objectifs

THEME 1 : L ORDINATEUR ET SON ENVIRONNEMENT. Objectifs Architecture Matérielle des Systèmes Informatiques. S1 BTS Informatique de Gestion 1 ère année THEME 1 : L ORDINATEUR ET SON ENVIRONNEMENT Dossier 1 L environnement informatique. Objectifs Enumérer et

Plus en détail

UE 503 L3 MIAGE. Initiation Réseau et Programmation Web La couche physique. A. Belaïd

UE 503 L3 MIAGE. Initiation Réseau et Programmation Web La couche physique. A. Belaïd UE 503 L3 MIAGE Initiation Réseau et Programmation Web La couche physique A. Belaïd abelaid@loria.fr http://www.loria.fr/~abelaid/ Année Universitaire 2011/2012 2 Le Modèle OSI La couche physique ou le

Plus en détail

LA MESURE INDUSTRIELLE

LA MESURE INDUSTRIELLE E02 LA MESURE INDUSTRIELLE 20 Heures Technicien responsable de la maintenance Approfondir les techniques de mesure; Prendre en compte l aspect métrologie. Connaître les limites et les facteurs d influences

Plus en détail

Driver de moteurs pas-à-pas DM432C

Driver de moteurs pas-à-pas DM432C Driver de moteurs pas-à-pas DM432C 1. Introduction Le DM432C de Leadshine est un driver digital de moteurs pas-à-pas basé sur un circuit DSP. Il fait partie de la dernière génération de contrôleurs de

Plus en détail

La solution à vos mesures de pression

La solution à vos mesures de pression Mesure de force linéique La solution à vos mesures de pression Sensibilité Répétabilité Stabilité Le système X3 de XSENSOR propose un concept innovant spécialement adapté pour vos applications de mesure

Plus en détail

Module Relais de temporisation DC 24 110 V, programmable

Module Relais de temporisation DC 24 110 V, programmable Caractéristiques techniques indicatives sous réserve de modifications 815006.00 Identification Type ZR6-5006.00 Version du produit Version du fiche technique 00 Application/ Domaine d'utilisation/caractéristiques

Plus en détail

Le Programme SYGADE SYGADE 5.2. Besoins en équipement, logiciels et formation. UNCTAD/GID/DMFAS/Misc.6/Rev.7

Le Programme SYGADE SYGADE 5.2. Besoins en équipement, logiciels et formation. UNCTAD/GID/DMFAS/Misc.6/Rev.7 CONFÉRENCE DES NATIONS UNIES SUR LE COMMERCE ET LE DÉVELOPPEMENT UNITED NATIONS CONFERENCE ON TRADE AND DEVELOPMENT Le Programme SYGADE SYGADE 5.2 Besoins en équipement, logiciels et formation UNCTAD/GID/DMFAS/Misc.6/Rev.7

Plus en détail

Tout savoir sur le matériel informatique

Tout savoir sur le matériel informatique Tout savoir sur le matériel informatique Thème de l exposé : Les Processeurs Date : 05 Novembre 2010 Orateurs : Hugo VIAL-JAIME Jérémy RAMBAUD Sommaire : 1. Introduction... 3 2. Historique... 4 3. Relation

Plus en détail

Acquisition des données

Acquisition des données Chef De Projet Informatique en Environnement Territorial diffusion restreinte à l'enseignement CDP-UPMC Frédéric Bongat Formation CDP 1 Un système compromis implique: Une méthodologie afin de faire face

Plus en détail

Solutions en auto-consommation

Solutions en auto-consommation Solutions en auto-consommation Solar-Log et auto-consommation Les solutions Solar-Log pour les projets en auto-consommation Avec des avantages multiples, l autoconsommation prend peu à peu une place importante

Plus en détail

WebSpy Analyzer Giga 2.1 Guide de démarrage

WebSpy Analyzer Giga 2.1 Guide de démarrage WebSpy Analyzer Giga 2.1 Guide de démarrage Ce document aide à vous familiariser avec l utilisation de WebSpy Analyzer Giga. Pour des informations plus détaillées, consultez le guide utilisateur Analyzer

Plus en détail

Architecture des ordinateurs

Architecture des ordinateurs Architecture des ordinateurs Cours 4 5 novembre 2012 Archi 1/22 Micro-architecture Archi 2/22 Intro Comment assembler les différents circuits vus dans les cours précédents pour fabriquer un processeur?

Plus en détail

Conception et Intégration de Systèmes Critiques

Conception et Intégration de Systèmes Critiques Conception et Intégration de Systèmes Critiques 15 12 18 Non 50 et S initier aux méthodes le développement de projet (plan de développement, intégration, gestion de configuration, agilité) Criticité temporelle

Plus en détail

T. BLOTIN Lycée Paul-Eluard 93206 SAINT-DENIS

T. BLOTIN Lycée Paul-Eluard 93206 SAINT-DENIS T. BLOTIN Lycée Paul-Eluard 93206 SAINT-DENIS SOMMAIRE I. Le VHDL pour qui, pourquoi, quand, comment? A. Le VHDL!...... 1 B. Pourquoi un langage de description?...... 1 C. Les limites actuelles...... 2

Plus en détail

Parallélisme et Répartition

Parallélisme et Répartition Parallélisme et Répartition Master Info Françoise Baude Université de Nice Sophia-Antipolis UFR Sciences Département Informatique baude@unice.fr web du cours : deptinfo.unice.fr/~baude Septembre 2009 Chapitre

Plus en détail

SUR MODULE CAMÉRA C38A (OV7620)

SUR MODULE CAMÉRA C38A (OV7620) Applications maquette d'étude EP10K20 DÉMULTIPLEXEUR BT.656 SUR MODULE CAMÉRA C38A OV7620 SCHÉMAS ET DESCRIPTIONS AHDL 1. Schéma principal Le démultiplexeur proprement dit est la fonction "Decod_BT656_1".

Plus en détail

MB Led. Benjamin Bonny Cédric Le Ninivin Guillaume Normand

MB Led. Benjamin Bonny Cédric Le Ninivin Guillaume Normand MB Led Benjamin Bonny Cédric Le Ninivin Guillaume Normand Utilisation d un projet existant Projet GLiP Affichage de Gif animés avec un bloc maître (contrôlés via un port série). Affichage adapté dynamiquement

Plus en détail

fullprotect inside EOLE SPEie RS E-SPEie 0.5-12-5V-0.6A-RS 1.0 revision Protection environnement Datasheet édition française

fullprotect inside EOLE SPEie RS E-SPEie 0.5-12-5V-0.6A-RS 1.0 revision Protection environnement Datasheet édition française Protection environnement Datasheet édition française 1.0 revision R-D-CO-D-27112011-1.0-C fullprotect inside SPEi Protection environnement interne SPEe Protection environnement externe SPEc Contrôle de

Plus en détail

EMETTEUR ULB. Architectures & circuits. Ecole ULB GDRO ESISAR - Valence 23-27/10/2006. David MARCHALAND STMicroelectronics 26/10/2006

EMETTEUR ULB. Architectures & circuits. Ecole ULB GDRO ESISAR - Valence 23-27/10/2006. David MARCHALAND STMicroelectronics 26/10/2006 EMETTEUR ULB Architectures & circuits David MARCHALAND STMicroelectronics 26/10/2006 Ecole ULB GDRO ESISAR - Valence 23-27/10/2006 Introduction Emergence des applications de type LR-WPAN : Dispositif communicant

Plus en détail

Ed 03/95 PAQ 1530 NON URGENTE (INFO PRODUIT) TEMPORAIRE DEFINITIVE

Ed 03/95 PAQ 1530 NON URGENTE (INFO PRODUIT) TEMPORAIRE DEFINITIVE d 03/95 PAQ 1530 COMMUNICAION CHNIQU N C0351 Date : 18-09-2002 OmniPCX 4400 Nb de pages : 16 URGN (FASH PRODUI) NON URGN (INFO PRODUI) MPORAIR DFINIIV OBJ : CAR GPA2 Veuillez trouver ci-joint la documentation

Plus en détail

Relais d'arrêt d'urgence, protecteurs mobiles

Relais d'arrêt d'urgence, protecteurs mobiles PNOZ Relais jusqu'en d'arrêt 11 catégorie d'urgence, 4, EN 954-1 protecteurs mobiles Bloc logique de sécurité pour la surveillance de poussoirs d'arrêt d'urgence et de protecteurs mobiles Homologations

Plus en détail

ENREGISTREUR DE TEMPERATURE

ENREGISTREUR DE TEMPERATURE ENREGISTREUR DE TEMPERATURE Jean-Pierre MANDON 2005 www.pictec.org Cet enregistreur de température a été réalisé dans le cadre de la construction d'un chauffe eau solaire. Il me permet d'enregistrer les

Plus en détail

Transmissions série et parallèle

Transmissions série et parallèle 1. Introduction : Un signal numérique transmet généralement plusieurs digits binaires. Exemple : 01000001 ( huit bits). Dans une transmission numérique on peut envisager deux modes : les envoyer tous en

Plus en détail

Structure de base d un ordinateur

Structure de base d un ordinateur Structure de base d un ordinateur 1-Définition de l ordinateur L ordinateur est un appareil électronique programmable qui traite automatiquement les informations. Il est constitué de l unité centrale et

Plus en détail

Réunion GATE Équipement E3

Réunion GATE Équipement E3 Réunion GATE Équipement E3 E3 : Réalisation d'un système de détection Cherenkov autonome et modulaire Assemblage de plusieurs modules développés dans le cadre du programme ANR NECTar Julien Bolmont pour

Plus en détail

1. PRESENTATION DU PROJET

1. PRESENTATION DU PROJET Bac STI2D Formation des enseignants Jean-François LIEBAUT Denis PENARD SIN 63 : Prototypage d un traitement de l information analogique et numérique (PSoC) 1. PRESENTATION DU PROJET Les systèmes d éclairage

Plus en détail

Description d'une liaison

Description d'une liaison escription d'une liaison I. Constitution d'une liaison...2 II. Modes d'exploitation...2 III. Normalisation des jonctions...2 III.1. Norme V28 (RS232) 3 III.2. Norme V11 (RS422 et RS485) 4 IV. Liaison V24

Plus en détail

Equipement. électronique

Equipement. électronique MASTER ISIC Les générateurs de fonctions 1 1. Avant-propos C est avec l oscilloscope, le multimètre et l alimentation stabilisée, l appareil le plus répandu en laboratoire. BUT: Fournir des signau électriques

Plus en détail

Application Delivery à la demande

Application Delivery à la demande Sommaire : 2 Renforcer les capacités sans impacter les coûts opérationnels 2 Simplifier le réseau 2 Optimiser les performances des applications à grande échelle 2 Atteindre une fiabilité exceptionnelle

Plus en détail

Manuel d installation du clavier S5

Manuel d installation du clavier S5 1 Manuel d installation du clavier S5 Table des matières 1. Contenu de l emballage... 3 2. Guide de programmation... 3 3. Description... 4 4. Caractéristiques techniques du clavier S5... 4 5. Spécifications

Plus en détail

Atelier C TIA Portal CTIA06 : programmation des automates S7-300 Blocs d organisation

Atelier C TIA Portal CTIA06 : programmation des automates S7-300 Blocs d organisation Atelier C TIA Portal CTIA06 : programmation des automates S7-300 Blocs d organisation CTIA06 Page 1 1. Types de blocs de programme L automate met à disposition différents types de blocs qui contiennent

Plus en détail

Réplication des données

Réplication des données Réplication des données Christelle Pierkot FMIN 306 : Gestion de données distribuées Année 2009-2010 Echange d information distribuée Grâce à un serveur central Une seule copie cohérente Accès à distance

Plus en détail

ENSSAT EII2 Projet en conception de circuits intégrés dédiés

ENSSAT EII2 Projet en conception de circuits intégrés dédiés ENSSAT EII Projet en conception de circuits intégrés dédiés Conception et réalisation d'une chaîne de communication numérique CDMA Conception sur ASIC en technologie ST.3um Prototypage sur FPGA Altera

Plus en détail

Structure et fonctionnement d'un ordinateur : hardware

Structure et fonctionnement d'un ordinateur : hardware Structure et fonctionnement d'un ordinateur : hardware Introduction : De nos jours, l'ordinateur est considéré comme un outil indispensable à la profession de BDA, aussi bien dans les domaines de la recherche

Plus en détail

2015 // 2016. des formations. programme. Retrouvez toutes ces informations sur enseirb-matmeca.bordeaux-inp.fr

2015 // 2016. des formations. programme. Retrouvez toutes ces informations sur enseirb-matmeca.bordeaux-inp.fr programme des formations Filière Électronique...2 Filière Informatique...3 Filière Mathématique et Mécanique...4 Filière Télécommunications...5 Filière Réseaux et Systèmes d Information...6 Filière Systèmes

Plus en détail

3A-IIC - Parallélisme & Grid GRID : Définitions. GRID : Définitions. Stéphane Vialle. Stephane.Vialle@supelec.fr http://www.metz.supelec.

3A-IIC - Parallélisme & Grid GRID : Définitions. GRID : Définitions. Stéphane Vialle. Stephane.Vialle@supelec.fr http://www.metz.supelec. 3A-IIC - Parallélisme & Grid Stéphane Vialle Stephane.Vialle@supelec.fr http://www.metz.supelec.fr/~vialle Principes et Objectifs Evolution Leçons du passé Composition d une Grille Exemple d utilisation

Plus en détail

La carte à puce. Jean-Philippe Babau

La carte à puce. Jean-Philippe Babau La carte à puce Jean-Philippe Babau Département Informatique INSA Lyon Certains éléments de cette présentation sont issus de documents Gemplus Research Group 1 Introduction Carte à puce de plus en plus

Plus en détail

Configuration matérielle et logicielle requise et prérequis de formation pour le SYGADE 6

Configuration matérielle et logicielle requise et prérequis de formation pour le SYGADE 6 Configuration matérielle et logicielle requise et prérequis de formation pour le SYGADE 6 DMFAS6/HardwareSoftware/V4 Octobre 2013 2 Configuration matérielle et logicielle requise et prérequis de formation

Plus en détail

Centrales de mesures. CENTRALES DE MESURES Nemo. A.6 Guide de choix. A.14 4 Modules. A.20 Encastré 72x72. A.24 Encastré 96x96. A.

Centrales de mesures. CENTRALES DE MESURES Nemo. A.6 Guide de choix. A.14 4 Modules. A.20 Encastré 72x72. A.24 Encastré 96x96. A. I N S T R U M E N T S D E M E S U R E CENTRLES DE MESURES Nemo Centrales de mesures Nemo Les centrales de mesures composant la gamme IMESYS permettent la surveillance, le contrôle et la gestion de toute

Plus en détail

Cours 7 : Programmation d une chaîne d acquisition

Cours 7 : Programmation d une chaîne d acquisition Cours 7 : Programmation d une chaîne d acquisition 4 Concepts 4 Programmation Cible Pentium : Langages de haut niveau Langage graphique G sous LabView + librairies de VI ; Langage C + librairies de fonctions

Plus en détail

Ordinateurs, Structure et Applications

Ordinateurs, Structure et Applications Ordinateurs, Structure et Applications Cours 19, Le USB Etienne Tremblay Université Laval, Hiver 2012 Cours 19, p.1 USB signifie Universal Serial Bus USB Le USB a été conçu afin de remplacer le port série

Plus en détail