Les circuits FPGA. Eduardo Sanchez Laboratoire de Systèmes Logiques. Ecole Polytechnique Fédérale de Lausanne

Dimension: px
Commencer à balayer dès la page:

Download "Les circuits FPGA. Eduardo Sanchez Laboratoire de Systèmes Logiques. Ecole Polytechnique Fédérale de Lausanne"

Transcription

1 Les circuits FPGA Laboratoire de Systèmes Logiques

2 Field Programmable Gate Arrays Matrice de cellules logiques Chaque cellule est capable de réaliser une fonction, choisie parmi plusieurs possibles: le choix se fait par programmation Les interconnexions entre les cellules sont programmables également Deux types, selon la complexité de la cellule: granularité fine granularité grossière Deux types, selon le mode de programmation: RAM anti-fusibles Page 2

3 interconnexions programmables fonctions programmables configuration cellule logique cellule I/O Page 3

4 Méthodologie de synthèse éditeur graphique VHDL schéma logique partitionnement placement routage bits de configuration Page 4

5 Circuits Xilinx Chaque cellule logique, appelée Configurable Logic Block (CLB), est programmée à l aide d une look-up table (LUT) Le chargement de la configuration peut prendre plusiers millisecondes, temps pendant lequel le circuit est inutilisable Plusieurs familles sont disponibles, divisées en deux grands groupes: les familles à grain grossier (XC3000, XC4000, XC5200, Spartan, Virtex): il est impossible de configurer une partie isolée du circuit la famille à gran fin XC6200: il est possible d accéder directement à chaque cellule logique Page 5

6 CLB de la famille X4000: C1 C2 C3 C4 H1 DIN S/R EC G4 G3 G2 Logic function G' DIN F' G' H' S/R control D SD Q2 G1 F4 F3 F2 Logic function F' Logic function H' DIN F' G' H' 1 S/R control EC D RD SD G Q1 F1 EC RD 1 CK F Page 6

7 On peut générer deux sorties par CLB, combinatoires ou séquentielles Il est possible de générer 2 fonctions quelconques à 4 variables, une fonction quelconque à 5 variables ou certaines fonctions à 9 variables Les look-up tables peuvent également être utilisées comme des RAM. Dans ce cas, on peut obtenir les configurations suivantes: une RAM 16x2, une RAM 32x1, deux RAM 16x1 ou une RAM 16x1 et une fonction combinatoire à 4 variables Les bascules possèdent des signaux set/reset programmables et peuvent être utilisées indépendamment des fonctions logiques de la cellule Page 7

8 Cellule I/O (IOB) de la famille X4000: SLEW RATE CONTROL PASSIVE PULL-UP/ PULL-DOWN VCC OE OUT OUTPUT CLOCK D FLIP- FLOP Q PAD I1 I2 Q D FLIP- FLOP/ LATCH DELAY Gnd INPUT CLOCK Page 8

9 Modes de programmation: master: série parallèle avec adresses croissantes parallèle avec adresses décroissantes peripheral: parallèle asynchrone parallèle synchrone slave: série Page 9

10 La famille Virtex System Gates CLB Array Logic Cells Maximum Available I/O BlockRAM Bits Configuration Bits XCV x XCV x XCV x XCV x XCV x XCV x XCV x XCV x XCV x Page 10

11 Caractéristiques de base Cellules logiques et interconnexions hiérarchiques Mémoire interne double port Densités de 50K à 1M de portes Fréquence maximale: 200 MHz Exemples de performance: additionneur 64 bits: 7.2 ns multiplicateur 16x16: 6.0 ns décodeur d adresse 64 bits: 6.4 ns multiplexeur 16:1 5.4 ns Technologie: 0.22µ CMOS, 5 couches de métal Page 11

12 Architecture DLL VersaRing B R A M CLBs B R A M IOBs Page 12

13 Input/Output Block (IOB) Chaque IOB possède 3 éléments de mémoire, configurables comme bascules ou latches. Ces trois éléments partagent le signal d horloge et de set/reset, mais chacun possède son propre enable clock (EC). Le signal set/reset peut être configuré comme set ou reset, synchrone ou asynchrone. Page 13

14 PS T TCE D EC Q L Weak Keeper SR PS PAD O OCE D EC Q L SR I IQ Q PS D EC Programmable Delay L SR Vref Page 14 SR CLK ICE

15 Configurable Logic Block (CLB) L unité logique de base est la Logic Cell (LC): un générateur de fonctions logiques à 4 variables, une logique de carry et un élément de mémoire Deux LC formennt un slice et deux slices forment un CLB En combinant les deux LCs d un slice, on peut implémenter une fonction quelconque à 5 entrées ou certaines fonctions jusqu à 9 variables. En combinant les 4 LCs d un CLB, on peut implémenter une fonction quelconque à 6 entrées ou certaines jusqu à 19 variables. Chaque slice contient une chaîne à carry, ce qui permet l implémentation d un full adder par LC. On peut également utiliser ces chaînes pour réaliser des fonctions logiques plus larges L élément de mémoire du LC peut être configuré comme une bascule ou comme un latch, avec CLK et EC, set et reset (synchrone ou asynchrone) Page 15

16 Cout Cout LC LC slice LC LC Cin Cin CLB Cout G4 G3 G2 LUT Carry & Control D EC SP Q YB Y YQ G1 RC BY Cin Page 16

17 Chaque LUT (Look-Up Table) peut être utilisée comme une RAM 16x1 synchrone. Les deux LUTs d un slice peuvent se combiner pour obtenir une RAM synchrone de dimension: 16x2 32x1 16x1 double port En plus, une LUT peut être utilisée comme registre à décalage Par circuit, il y a deux colonnes de mémoire RAM, appelée BlockSelectRAM. Une colonne est formée de plusieurs blocs, un par 4 CLB de hauteur (un FPGA avec 64 CLB de hauteur possède donc 16 blocs de mémoire par colonne, pour un total de 32 pour le circuit). Chacun des blocs est une mémoire double port de 4096 bits, avec des signaux de contrôle indépendants par port. La largeur de la mémoire peut être configurée a 1, 2, 4, 8 ou 16 bits Page 17

18 Interconnexions A côté de chaque CLB il y a un GRM (General Routing Matrix): c est un switch d interconnexion avec les 4 CLBs adjacents 24 lignes avec chacun des GRM adjacents 96 lignes avec les GRM situés 6 CLB plus loin 12 lignes horizontales et verticales, tout au long du circuit Il y a 4 lignes par rangée de CLB, pour transmettre les tri-states (deux par CLB) Le VersaRing est un réseau additionnel de lignes d interconnexion entre les IOB et les CLB: cela permet de changer facilement la configuration interne du circuit tout en gardant le même brochage Il y a 4 signaux globaux d horloge, capable d arriver sur toutes les entrées d horloge internes avec un skew minimal. Associé à chaque signal d horloge global il y a un DLL (Delay-Locked Loop) Page 18

19 Modes de configuration slave-serial master-serial selectmap Dans ce mode, il est possible de lire tout le contenu de la configuration, ainsi que l état de toutes les bascules, les LUTs et les BRAM boundary-scan Page 19

20 La famille Virtex-E System Gates CLB Array Logic Cells Maximum Available I/O BlockRAM Bits Configuration Bits XCV50E x XCV100E x XCV200E x XCV300E x XCV400E x XCV600E x XCV1000E x XCV1600E x XCV2000E x XCV2600E x XCV3200E x Page 20

21 Caractéristiques de base Technologie: 0.18µ CMOS, 6 couches de métal, 1.8 V 30% plus rapide que la famille Virtex Exemples de performance: additionneur 64 bits: multiplicateur 16x16: décodeur d adresse 64 bits: multiplexeur 16:1 6.3 ns 5.1 ns 5.5 ns 4.6 ns Mémoire interne double port plus rapide Densités de 58K à 4M de portes Page 21

22 Architecture DLL DLL DLL DLL VersaRing I O B B R A M C L B B R A M C L B C L B B R A M C L B B R A M I O B DLL DLL DLL DLL Les blocs de mémoire interne sont toujours de 4096 bits, organisés en colonnes, mais il y plus de colonnes de mémoire: une chaque 12 colonnes de CLBs, à l exception du milieu du circuit Page 22

23 50M 50M gates Density (system gates) 10M 4M 2M 1M Virtex V1000 Virtex 0.18m Virtex 0.15 m Virtex 0.13 m 500K Page 23

24 Les circuits intégrés sur mesure Full-custom Semi-custom Programmable Cell-based (CBIC) (standard cells) Masked gate array (MGA) PLD CPLD FPGA Page 24

25 FPGA MGA CBIC wafer size inches wafer cost K$ design Kgates density Kgates/cm2 utilization % die size cm2 die/wafer defect density defects/cm2 yield % die cost $ price/gate cents part cost $ Page 25

26 cost of parts $1 000,000 FPGA MGA CBIC $100,000 20,000 $10, , ,000 number of parts or volume Page 26

27 Page 27

28 Field Programmable Interconnection Circuits transistor de passage connexion permanente cellule de SRAM buffers programmables pins I/O Page 28

DOCUMENT PROTEGE PAR UN DROIT DE COPIE. CPLD ou FPGA Critères de choix. page 1

DOCUMENT PROTEGE PAR UN DROIT DE COPIE. CPLD ou FPGA Critères de choix. page 1 Une des caractéristiques du domaine des circuits programmables est d être résolument moderne, tirant parti des évolutions concernant les procédés technologiques, la propriété intellectuelle(ip), l Internet,

Plus en détail

VIII- Circuits séquentiels. Mémoires

VIII- Circuits séquentiels. Mémoires 1 VIII- Circuits séquentiels. Mémoires Maintenant le temps va intervenir. Nous avions déjà indiqué que la traversée d une porte ne se faisait pas instantanément et qu il fallait en tenir compte, notamment

Plus en détail

Conception de circuits numériques et architecture des ordinateurs

Conception de circuits numériques et architecture des ordinateurs Conception de circuits numériques et architecture des ordinateurs Frédéric Pétrot et Sébastien Viardot Année universitaire 2011-2012 Structure du cours C1 C2 C3 C4 C5 C6 C7 C8 C9 C10 C11 C12 Codage des

Plus en détail

QUESTION 1 {2 points}

QUESTION 1 {2 points} ELE4301 Systèmes logiques II Page 1 de 8 QUESTION 1 {2 points} En se servant de paramètres électriques donnés dans le Tableau 1 ci-dessous, on désire déterminer la fréquence d opération du compteur présenté

Plus en détail

Conception Systèmes numériques VHDL et synthèse automatique des circuits

Conception Systèmes numériques VHDL et synthèse automatique des circuits Année 2008-2009 Conception Systèmes numériques VHDL et synthèse automatique des circuits Travaux pratiques Pentium4 Présentation du simulateur VHDL sous environnement Cadence Présentation de l outil Synopsys

Plus en détail

Conception Systèmes numériques VHDL et synthèse automatique des circuits

Conception Systèmes numériques VHDL et synthèse automatique des circuits Année 2011-2012 Conception Systèmes numériques VHDL et synthèse automatique des circuits Travaux pratiques WIDEMACV1 LAAS-CNRS 2011 Présentation du simulateur VHDL sous environnement Cadence Présentation

Plus en détail

Séminaire RGE REIMS 17 février 2011

Séminaire RGE REIMS 17 février 2011 Séminaire RGE REIMS 17 février 2011 ADACSYS Présentation des FPGA Agenda Spécificité et différences par rapport aux autres accélérateurs Nos atouts Applications Approche innovante Document confidentiel

Plus en détail

IFT1215 Introduction aux systèmes informatiques

IFT1215 Introduction aux systèmes informatiques Introduction aux circuits logiques de base IFT25 Architecture en couches Niveau 5 Niveau 4 Niveau 3 Niveau 2 Niveau Niveau Couche des langages d application Traduction (compilateur) Couche du langage d

Plus en détail

SIN-FPGA DESCRIPTION PAR SCHEMA

SIN-FPGA DESCRIPTION PAR SCHEMA SIN-FPGA DESCRIPTION PAR SCHEMA Documents ressources: http://www.altera.com/literature/lit-index.html Introduction to Quartus II : intro_to_quartus2.pdf Documentation QUARTUS II : quartusii_handbook.pdf

Plus en détail

ELP 304 : Électronique Numérique. Cours 1 Introduction

ELP 304 : Électronique Numérique. Cours 1 Introduction ELP 304 : Électronique Numérique Cours 1 Introduction Catherine Douillard Dépt Électronique Les systèmes numériques : généralités (I) En électronique numérique, le codage des informations utilise deux

Plus en détail

Manipulations du laboratoire

Manipulations du laboratoire Manipulations du laboratoire 1 Matériel Les manipulations de ce laboratoire sont réalisées sur une carte électronique comprenant un compteur 4-bit asynchrone (74LS93) avec possibilité de déclenchement

Plus en détail

Modélisation physique des cellules logiques... Modèles pour le placement routage, le format "LEF"

Modélisation physique des cellules logiques... Modèles pour le placement routage, le format LEF Modélisation physique des cellules logiques... Modèles pour le placement routage, le format "LEF" Yves Mathieu Plan Introduction Technologie Macros Conclusion 2/21 FC Backend ASIC Yves Mathieu Library

Plus en détail

Les liaisons SPI et I2C

Les liaisons SPI et I2C DAMÉCOURT BENJAMIN AVRIL 28 Liaisons synchrones Les liaisons SPI et I2C Face arrière d un imac : trois ports USB, un port Firewire 4 et un port Firewire 8 CHRONOLOGIE ANNÉES 7 La liaison SPI et la création

Plus en détail

Logique séquentielle

Logique séquentielle Bascules et logique séquentielle aniel Etiemble de@lri.fr Logique séquentielle Logique séquentielle Le système a des «états» ans un système séquentiel Éléments de mémorisation Les sorties dépendent des

Plus en détail

Conférence sur les microcontroleurs.

Conférence sur les microcontroleurs. Conférence sur les microcontroleurs. Le microcontrôleur Les besoins et le développement. Vers 1970, pour des calculs (calculatrice). Le premier est le 4004 de Intel, 90K. La technologie. Les 2 principales

Plus en détail

Introduction à l architecture des ordinateurs. Adrien Lebre Décembre 2007

Introduction à l architecture des ordinateurs. Adrien Lebre Décembre 2007 Introduction à l architecture des ordinateurs Adrien Lebre Décembre 2007 Plan - partie 1 Vue d ensemble La carte mère Le processeur La mémoire principal Notion de bus Introduction à l architecture des

Plus en détail

FONCTION COMPTAGE BINAIRE ET DIVISION DE FRÉQUENCE

FONCTION COMPTAGE BINAIRE ET DIVISION DE FRÉQUENCE I/ GÉNÉRALITÉS I.1/ Fonction Un compteur binaire est utilisé : -pour compter un certain nombre d'évènements binaires -pour diviser la fréquence d'un signal logique par 2 m Page 1 FONCTION COMPTAGE BINAIRE

Plus en détail

GPA770 Microélectronique appliquée Exercices série A

GPA770 Microélectronique appliquée Exercices série A GPA770 Microélectronique appliquée Exercices série A 1. Effectuez les calculs suivants sur des nombres binaires en complément à avec une représentation de 8 bits. Est-ce qu il y a débordement en complément

Plus en détail

Fiche technique CPU 314SC/DPM (314-6CG13)

Fiche technique CPU 314SC/DPM (314-6CG13) Fiche technique CPU 314SC/DPM (3146CG13) Données techniques N de commande 3146CG13 Type CPU 314SC/DPM Information générale Note Caractéristiques SPEEDBus Technologie SPEED7 24 x DI, 16 x DO, 8 x DIO, 4

Plus en détail

ARDUINO DOSSIER RESSOURCE POUR LA CLASSE

ARDUINO DOSSIER RESSOURCE POUR LA CLASSE ARDUINO DOSSIER RESSOURCE POUR LA CLASSE Sommaire 1. Présentation 2. Exemple d apprentissage 3. Lexique de termes anglais 4. Reconnaître les composants 5. Rendre Arduino autonome 6. Les signaux d entrée

Plus en détail

Architectures haute disponibilité avec MySQL. Olivier Olivier DASINI DASINI - - http://dasini.net/blog

Architectures haute disponibilité avec MySQL. Olivier Olivier DASINI DASINI - - http://dasini.net/blog Architectures haute disponibilité avec MySQL Architectures Architectures haute disponibilité haute disponibilité avec MySQL avec MySQL Olivier Olivier DASINI DASINI - - http://dasini.net/blog Forum PHP

Plus en détail

Chapitre 4 : Les mémoires

Chapitre 4 : Les mémoires 1. Introduction: Chapitre 4 : Les mémoires Nous savons que dans un ordinateur toutes les informations : valeur numérique, instruction, adresse, symbole (chiffre, lettre,... etc.) sont manipulées sous une

Plus en détail

On distingue deux grandes catégories de mémoires : mémoire centrale (appelée également mémoire interne)

On distingue deux grandes catégories de mémoires : mémoire centrale (appelée également mémoire interne) Mémoire - espace destiné a recevoir, conserver et restituer des informations à traiter - tout composant électronique capable de stocker temporairement des données On distingue deux grandes catégories de

Plus en détail

Quoi de neuf en LabVIEW FPGA 2010?

Quoi de neuf en LabVIEW FPGA 2010? Quoi de neuf en LabVIEW FPGA 2010? Yannick DEGLA Ingénieur d Application Fonctionnalités de LabVIEW FPGA 2010 Nœud d intégration d IP - Importer directement des fichiers.xco de Xilinx ou vos propres VHDL

Plus en détail

Lecteur de carte à puce LCPM1 SOMMAIRE

Lecteur de carte à puce LCPM1 SOMMAIRE SOMMAIRE I Différents types de cartes p2 1.1- Carte magnétique 1.2- Carte II Les cartes s. p3 2.1- Introduction 2.2- Constitution III Les familles de cartes s. p6 3.1- Les cartes à mémoire simple 3.2-

Plus en détail

ADÉQUATION ALGORITHME-ARCHITECTURE APPLIQUÉE AUX CIRCUITS RECONFIGURABLES

ADÉQUATION ALGORITHME-ARCHITECTURE APPLIQUÉE AUX CIRCUITS RECONFIGURABLES ADÉQUATION ALGORITHME-ARCHITECTURE APPLIQUÉE AUX CIRCUITS RECONFIGURABLES AILTON F. DIAS, MOHAMED AKIL, CHRISTOPHE LAVARENNE, YVES SOREL CNEN/CDTN Divisão de Computação e Informação, CP 941-012-970 Belo

Plus en détail

Bien commencer avec un LaunchPad MSP430G et un Breadboard

Bien commencer avec un LaunchPad MSP430G et un Breadboard Bien commencer avec un LaunchPad MSP430G et un Breadboard Pierre-Yves Rochat Le LaunchPad et Energia Texas Instrument propose un environnement de développement très complet pour ses MSP430, appelé Code

Plus en détail

Notions d IPMI et retour. Ecole d électronique numérique Fréjus 28 novembre 2012 Nicolas LETENDRE

Notions d IPMI et retour. Ecole d électronique numérique Fréjus 28 novembre 2012 Nicolas LETENDRE Notions d IPMI et retour d experience du LAPP Ecole d électronique numérique Fréjus 28 novembre 2012 Nicolas LETENDRE L IPMI (Intelligent Platform Management Interface) Définition d Interfaces de bas niveau

Plus en détail

Hiérarchie matériel dans le monde informatique. Architecture d ordinateur : introduction. Hiérarchie matériel dans le monde informatique

Hiérarchie matériel dans le monde informatique. Architecture d ordinateur : introduction. Hiérarchie matériel dans le monde informatique Architecture d ordinateur : introduction Dimitri Galayko Introduction à l informatique, cours 1 partie 2 Septembre 2014 Association d interrupteurs: fonctions arithmétiques élémentaires Elément «NON» Elément

Plus en détail

Initiation au HPC - Généralités

Initiation au HPC - Généralités Initiation au HPC - Généralités Éric Ramat et Julien Dehos Université du Littoral Côte d Opale M2 Informatique 2 septembre 2015 Éric Ramat et Julien Dehos Initiation au HPC - Généralités 1/49 Plan du cours

Plus en détail

Fiche technique CPU 315SN/PN (315-4PN33)

Fiche technique CPU 315SN/PN (315-4PN33) Fiche technique CPU 315SN/PN (315-4PN33) Données techniques N de commande 315-4PN33 Information générale Note - Caractéristiques SPEED-Bus - Données techniques de l'alimentation Alimentation (valeur nominale)

Plus en détail

THÈSE DEVANT L UNIVERSITÉ DE RENNES 1

THÈSE DEVANT L UNIVERSITÉ DE RENNES 1 N d ordre : 3881 THÈSE présentée DEVANT L UNIVERSITÉ DE RENNES 1 pour obtenir le grade de : DOCTEUR DE L UNIVERSITÉ DE RENNES 1 Mention : Traitement du Signal et Télécommunications par Julien LALLET Équipe

Plus en détail

Le langage VHDL. Eduardo Sanchez EPFL

Le langage VHDL. Eduardo Sanchez EPFL Le langage VHDL Eduardo Sanchez EPFL Livres conseillés: John F. Wakerly Digital design (4th edition) Prentice Hall, 2005 Peter J. Ashenden The designer's guide to VHDL (3rd edition) Morgan Kaufmann, 2008

Plus en détail

Architecture des ordinateurs TD1 - Portes logiques et premiers circuits

Architecture des ordinateurs TD1 - Portes logiques et premiers circuits Architecture des ordinateurs TD1 - Portes logiques et premiers circuits 1 Rappel : un peu de logique Exercice 1.1 Remplir la table de vérité suivante : a b a + b ab a + b ab a b 0 0 0 1 1 0 1 1 Exercice

Plus en détail

Ordinateurs, Structure et Applications

Ordinateurs, Structure et Applications Ordinateurs, Structure et Applications Cours 19, Le USB Etienne Tremblay Université Laval, Hiver 2012 Cours 19, p.1 USB signifie Universal Serial Bus USB Le USB a été conçu afin de remplacer le port série

Plus en détail

Module pour la solution e-commerce Magento

Module pour la solution e-commerce Magento Module pour la solution e-commerce Magento sommaire 1. Introduction... 3 1.1. Objet du document... 3 1.2. Liste des documents de référence... 3 1.3. Avertissement... 3 1.4. Contacts... 3 1.5. Historique

Plus en détail

StruxureWare Power Monitoring Expert v7.2

StruxureWare Power Monitoring Expert v7.2 StruxureWare Power Monitoring Expert v7.2 Disponible maintenant Sept 2013 Mise à jour vers PME 7.2 ION-E 6 6.0 6.0.1 Service Pack Gratuit Paid Upgrade SPM 7 7.0 7.0.1 Service Pack Gratuit Paid Upgrade

Plus en détail

SYSTEME DE PALPAGE A TRANSMISSION RADIO ETUDE DU RECEPTEUR (MI16) DOSSIER DE PRESENTATION. Contenu du dossier :

SYSTEME DE PALPAGE A TRANSMISSION RADIO ETUDE DU RECEPTEUR (MI16) DOSSIER DE PRESENTATION. Contenu du dossier : SYSTEME DE PALPAGE A TRANSMISSION RADIO ETUDE DU RECEPTEUR (MI16) DOSSIER DE PRESENTATION Contenu du dossier : 1. PRESENTATION DU SYSTEME DE PALPAGE A TRANSMISSION RADIO....1 1.1. DESCRIPTION DU FABRICANT....1

Plus en détail

Chapitre 1 : Introduction aux méthodologies de conception et de vérification pour SE

Chapitre 1 : Introduction aux méthodologies de conception et de vérification pour SE Chapitre 1 : Introduction aux méthodologies de conception et de vérification pour SE 1. Rappel de ce qu est un SE 2. Conception au niveau système (ESL) Méthodologie de conception (codesign logiciel/matériel)

Plus en détail

<Insert Picture Here> Exadata Storage Server et DB Machine V2

<Insert Picture Here> Exadata Storage Server et DB Machine V2 Exadata Storage Server et DB Machine V2 Croissance de la Volumétrie des Données Volumes multipliés par 3 tous les 2 ans Evolution des volumes de données 1000 Terabytes (Données) 800

Plus en détail

CARTES A PUCE. Pascal Urien - Cours cartes à puce 2010-24/06/10 Page 1

CARTES A PUCE. Pascal Urien - Cours cartes à puce 2010-24/06/10 Page 1 CARTES A PUCE Page 1 Table des matières I- Aperçu de la carte à puce.... 3 Historique... 3 Les marchés.... 4 La technologie des cartes à puce... 5 Les cartes à mémoire.... 5 Les cartes à microprocesseurs....

Plus en détail

Adaptation du datacenter Météo France en environnement HPC

Adaptation du datacenter Météo France en environnement HPC Adaptation du datacenter Météo France en environnement HPC Erwan Favennec Responsable de la division Réseau et Sécurité DSI de Météo-France Espace Grande Arche Paris La Défense Plan Contexte Déroulement

Plus en détail

La norme Midi et JavaSound

La norme Midi et JavaSound La norme Midi et JavaSound V 1.0-14.2.2006 (update Fev. 07) Jacques Ferber LIRMM - Université Montpellier II 161 rue Ada 34292 Montpellier Cedex 5 Email: ferber@lirmm.fr Home page: www.lirmm.fr/~ferber

Plus en détail

Routage Statique. Protocoles de Routage et Concepts. Version 4.0. 2007 Cisco Systems, Inc. All rights reserved. Cisco Public 1

Routage Statique. Protocoles de Routage et Concepts. Version 4.0. 2007 Cisco Systems, Inc. All rights reserved. Cisco Public 1 Routage Statique Protocoles de Routage et Concepts Version 4.0 1 Objectifs Définir le rôle général d'un routeur dans les réseaux. Décrire les réseaux directement connectés et les différentes interfaces

Plus en détail

Software and Hardware Datasheet / Fiche technique du logiciel et du matériel

Software and Hardware Datasheet / Fiche technique du logiciel et du matériel Software and Hardware Datasheet / Fiche technique du logiciel et du matériel 1 System requirements Windows Windows 98, ME, 2000, XP, Vista 32/64, Seven 1 Ghz CPU 512 MB RAM 150 MB free disk space 1 CD

Plus en détail

Aiguilleurs de courant intégrés monolithiquement sur silicium et leurs associations pour des applications de conversion d'énergie

Aiguilleurs de courant intégrés monolithiquement sur silicium et leurs associations pour des applications de conversion d'énergie Aiguilleurs de courant intégrés monolithiquement sur silicium et leurs associations pour des applications de conversion d'énergie ABDELILAH EL KHADIRY ABDELHAKIM BOURENNANE MARIE BREIL DUPUY FRÉDÉRIC RICHARDEAU

Plus en détail

TD Architecture des ordinateurs. Jean-Luc Dekeyser

TD Architecture des ordinateurs. Jean-Luc Dekeyser TD Architecture des ordinateurs Jean-Luc Dekeyser Fiche 1 Nombres de l informatique Exercice 1 Une entreprise désire réaliser la sauvegarde de ses données sur un site distant. Le volume de données à sauvegarder

Plus en détail

SYSTEME DE TELESURVEILLANCE VIDEO

SYSTEME DE TELESURVEILLANCE VIDEO SYSTEME DE TELESURVEILLANCE VIDEO Avril - Juin 98 Résumé des auteurs : Auteurs : Bertrand LASSERRE Responsables : P. KADIONIK Y. BERTHOUMIEU Nombre de pages : 68 Nombre de pages «Annexe» : 0 Remerciements

Plus en détail

SUR MODULE CAMÉRA C38A (OV7620)

SUR MODULE CAMÉRA C38A (OV7620) Applications maquette d'étude EP10K20 DÉMULTIPLEXEUR BT.656 SUR MODULE CAMÉRA C38A OV7620 SCHÉMAS ET DESCRIPTIONS AHDL 1. Schéma principal Le démultiplexeur proprement dit est la fonction "Decod_BT656_1".

Plus en détail

MICROCONTROLEURS PIC PROGRAMMATION EN C. V. Chollet - cours-pic-13b - 09/12/2012 Page 1 sur 44

MICROCONTROLEURS PIC PROGRAMMATION EN C. V. Chollet - cours-pic-13b - 09/12/2012 Page 1 sur 44 MICROCONTROLEURS PIC PROGRAMMATION EN C V. Chollet - cours-pic-13b - 09/12/2012 Page 1 sur 44 Chapitre 1 GENERALITES 1 DEFINITION Un microcontrôleur est un microprocesseur RISC (Reduced Instruction Set

Plus en détail

Programmation parallèle et distribuée

Programmation parallèle et distribuée Programmation parallèle et distribuée (GIF-4104/7104) 5a - (hiver 2015) Marc Parizeau, Département de génie électrique et de génie informatique Plan Données massives («big data») Architecture Hadoop distribution

Plus en détail

un nouvel œil pour l analyse de mouvement

un nouvel œil pour l analyse de mouvement un nouvel œil pour l analyse de mouvement L entreprise EyeNetics La S.A.R.L. EyeNetics a pour objectif de simplifier l utilisation des systèmes d analyse de mouvement. Dans cette optique nous avons conçu

Plus en détail

Université de La Rochelle. Réseaux TD n 6

Université de La Rochelle. Réseaux TD n 6 Réseaux TD n 6 Rappels : Théorème de Nyquist (ligne non bruitée) : Dmax = 2H log 2 V Théorème de Shannon (ligne bruitée) : C = H log 2 (1+ S/B) Relation entre débit binaire et rapidité de modulation :

Plus en détail

À propos de ce Guide de Configuration EtherSound

À propos de ce Guide de Configuration EtherSound À propos de ce Guide de Configuration EtherSound Ces dernières années, on a vu apparaître un certain nombre de technologies réseau assurant la gestion et le contrôle centralisés d installations audio de

Plus en détail

INGENIERIE DES SYSTEMES INFORMATIQUES - PARCOURS : MOBILITE ET CLOUD COMPUTING

INGENIERIE DES SYSTEMES INFORMATIQUES - PARCOURS : MOBILITE ET CLOUD COMPUTING INGENIERIE DES SYSTEMES INFORMATIQUES - PARCOURS : MOBILITE ET CLOUD COMPUTING Préparez ce diplôme à l école de d ingénierie de l IGA OBJECTIFS DE LA FORMATION Dans un contexte de mutation économique et

Plus en détail

Encoder 1.60. Encoder 1 sur 15. Codification fil par étage 15 étages max. + 2 flèches + signal de mouvement. Raccordements 0.1 mm²...

Encoder 1.60. Encoder 1 sur 15. Codification fil par étage 15 étages max. + 2 flèches + signal de mouvement. Raccordements 0.1 mm²... Displays ACCESSOIRES AFFICHEURS Encoder Encoder 1 sur 1 Le dispositif Encoder est nécessaire pour une codification 1 fil par étage avec des afficheurs conçus pour code binaire. Le dispositif Encoder convertit

Plus en détail

Cours 7 : Programmation d une chaîne d acquisition

Cours 7 : Programmation d une chaîne d acquisition Cours 7 : Programmation d une chaîne d acquisition 4 Concepts 4 Programmation Cible Pentium : Langages de haut niveau Langage graphique G sous LabView + librairies de VI ; Langage C + librairies de fonctions

Plus en détail

EL70x1 en mode position. Mise en œuvre rapide. VERSION : Beta / DATE : 31 Juillet 2011

EL70x1 en mode position. Mise en œuvre rapide. VERSION : Beta / DATE : 31 Juillet 2011 - EL70x1 en mode position Mise en œuvre rapide VERSION : Beta / DATE : 31 Juillet 2011 Les bornes EL70x1 permettent de contrôler des moteurs pas à pas. Soit la consigne est générée par une tâche NC, soit

Plus en détail

ASR1 TD7 : Un microprocesseur RISC 16 bits

ASR1 TD7 : Un microprocesseur RISC 16 bits {Â Ö Ñ º ØÖ Ý,È ØÖ ºÄÓ Ù,Æ ÓÐ ºÎ ÝÖ Ø¹ ÖÚ ÐÐÓÒ} Ò ¹ÐÝÓÒº Ö ØØÔ»»Ô Ö Óº Ò ¹ÐÝÓÒº Ö» Ö Ñ º ØÖ Ý»¼ Ö½» ASR1 TD7 : Un microprocesseur RISC 16 bits 13, 20 et 27 novembre 2006 Présentation générale On choisit

Plus en détail

NOTICE SIMPLIFIEE ER-A280F. I Initialisation avec Remise à Zéro de la caisse : ENTER PASSWORD ER-A280V. Ver1.02

NOTICE SIMPLIFIEE ER-A280F. I Initialisation avec Remise à Zéro de la caisse : ENTER PASSWORD ER-A280V. Ver1.02 NOTICE SIMPLIFIEE ER-A280F I Initialisation avec Remise à Zéro de la caisse : A faire absolument au déballage de la caisse avant de commencer à programmer. Cette étape ne nécessite pas la mise en place

Plus en détail

Conception de circuits numériques et architecture des ordinateurs

Conception de circuits numériques et architecture des ordinateurs Conception de circuits numériques et architecture des ordinateurs Frédéric Pétrot Année universitaire 2014-2015 Structure du cours C1 C2 C3 C4 C5 C6 C7 C8 C9 C10 C11 Codage des nombres en base 2, logique

Plus en détail

ENSSAT EII2 Projet en conception de circuits intégrés dédiés

ENSSAT EII2 Projet en conception de circuits intégrés dédiés ENSSAT EII Projet en conception de circuits intégrés dédiés Conception et réalisation d'une chaîne de communication numérique CDMA Conception sur ASIC en technologie ST.3um Prototypage sur FPGA Altera

Plus en détail

Equipement. électronique

Equipement. électronique MASTER ISIC Les générateurs de fonctions 1 1. Avant-propos C est avec l oscilloscope, le multimètre et l alimentation stabilisée, l appareil le plus répandu en laboratoire. BUT: Fournir des signau électriques

Plus en détail

Gamme caméra FA. Les caméras RICOH pour l industrie : Série FV. Caméras: RICOH FV Serie. GigE Vision. Camera Link. 2 Megapixel.

Gamme caméra FA. Les caméras RICOH pour l industrie : Série FV. Caméras: RICOH FV Serie. GigE Vision. Camera Link. 2 Megapixel. améras Gamme caméra F Les caméras RIOH pour l industrie : Série FV Les caméras RIOH F peuvent être utilisées dans de nombreuses applications de contrôle dans le domaine de la vision industrielle (F : Factory

Plus en détail

Configuration de TCPIPconfig.h

Configuration de TCPIPconfig.h AVANT DE COMMENCER LIRE EN DETAIL L APPLICATION MICROCHIP AN833. (L application MICROCHIP AN1120 est un excellent cours sur le protocole TCP-IP, qu il est indispensable de connaitre avant de fabriquer

Plus en détail

Création d installateurs pour Windows avec InnoSetup

Création d installateurs pour Windows avec InnoSetup Création d installateurs pour Windows avec InnoSetup 14 juin 2012 F. Langrognet 5 e rencontre DevelopR6 Laboratoire de Mathématiques de Besançon F. Langrognet () INNOSETUP Juin 2012 1 / 50 INNOSETUP 1

Plus en détail

SOMMAIRE Equipement Instructions générales. 1.Vue générale. 1.1 Face avant. 1.2 Face arrière. 2 Mode D emploi. 2.1.1 Adressage DMX

SOMMAIRE Equipement Instructions générales. 1.Vue générale. 1.1 Face avant. 1.2 Face arrière. 2 Mode D emploi. 2.1.1 Adressage DMX SOMMAIRE Equipement Instructions générales. 1.Vue générale 1.1 Face avant 1.2 Face arrière 2 Mode D emploi 2.1.1 Adressage DMX 2.1.2 Bloc de puissance en mode Statique 2.1.3 Bloc de puissance en mode Dimmer

Plus en détail

Centrales de mesures. CENTRALES DE MESURES Nemo. A.6 Guide de choix. A.14 4 Modules. A.20 Encastré 72x72. A.24 Encastré 96x96. A.

Centrales de mesures. CENTRALES DE MESURES Nemo. A.6 Guide de choix. A.14 4 Modules. A.20 Encastré 72x72. A.24 Encastré 96x96. A. I N S T R U M E N T S D E M E S U R E CENTRLES DE MESURES Nemo Centrales de mesures Nemo Les centrales de mesures composant la gamme IMESYS permettent la surveillance, le contrôle et la gestion de toute

Plus en détail

Durée estimée :1 journée Date de la réalisation : 2011. Description Fournisseur Référence Nombre PU HT LM35CZ, LM35AZ LM35DZ

Durée estimée :1 journée Date de la réalisation : 2011. Description Fournisseur Référence Nombre PU HT LM35CZ, LM35AZ LM35DZ 001 Titre : Mesure de température interfacée par carte Arduino Type de réalisation : montage électronique, de surveillance de température Concepteur : C. Rouviere Coordonnées : Laboratoire lbv villefranche/mer

Plus en détail

Boîtier de contrôle et de commande avec EV 3/2 voies intégrée

Boîtier de contrôle et de commande avec EV 3/2 voies intégrée A B C Boîtier de contrôle et de commande avec EV 3/2 voies intégrée Conception Le boîtier de contrôle / commande type GEMÜ avec électrovanne pilote 3/2 voies intégrée pour les actionneurs pneumatiques

Plus en détail

Description du logiciel Modbus RTU

Description du logiciel Modbus RTU Description du logiciel Modbus RTU V.1.0 Actualisée 04/2014 Page 1 de 57 Description du logiciel Modbus RTU Description du logiciel Modbus RTU V.1.0 Actualisée 04/2014 Page 2 de 57 Sommaire Description

Plus en détail

ECR_DESCRIPTION CHAR(80), ECR_MONTANT NUMBER(10,2) NOT NULL, ECR_SENS CHAR(1) NOT NULL) ;

ECR_DESCRIPTION CHAR(80), ECR_MONTANT NUMBER(10,2) NOT NULL, ECR_SENS CHAR(1) NOT NULL) ; RÈGLES A SUIVRE POUR OPTIMISER LES REQUÊTES SQL Le but de ce rapport est d énumérer quelques règles pratiques à appliquer dans l élaboration des requêtes. Il permettra de comprendre pourquoi certaines

Plus en détail

Méthodologie de conceptualisation BI

Méthodologie de conceptualisation BI Méthodologie de conceptualisation BI Business Intelligence (BI) La Business intelligence est un outil décisionnel incontournable à la gestion stratégique et quotidienne des entités. Il fournit de l information

Plus en détail

Comme chaque ligne de cache a 1024 bits. Le nombre de lignes de cache contenu dans chaque ensemble est:

Comme chaque ligne de cache a 1024 bits. Le nombre de lignes de cache contenu dans chaque ensemble est: Travaux Pratiques 3. IFT 1002/IFT 1005. Structure Interne des Ordinateurs. Département d'informatique et de génie logiciel. Université Laval. Hiver 2012. Prof : Bui Minh Duc. Tous les exercices sont indépendants.

Plus en détail

Tuto pour connecter une source RVB RGB à un moniteur Commodore / Amiga

Tuto pour connecter une source RVB RGB à un moniteur Commodore / Amiga Tuto pour connecter une source RVB RGB à un moniteur Commodore / Amiga Il faut 1 moniteur Commodore 1084S / 1083S-D1 Ecran 1084S (au dos, il est indiqué 1083S-D1), je l ai eut avec un Amiga 600 et on va

Plus en détail

Description d'une liaison

Description d'une liaison escription d'une liaison I. Constitution d'une liaison...2 II. Modes d'exploitation...2 III. Normalisation des jonctions...2 III.1. Norme V28 (RS232) 3 III.2. Norme V11 (RS422 et RS485) 4 IV. Liaison V24

Plus en détail

Une méthode de conception de systèmes sur puce

Une méthode de conception de systèmes sur puce École thématique ARCHI 05 Une méthode de conception de systèmes sur puce (de l intégration d applications) Frédéric PÉTROT Laboratoire TIMA Institut National Polytechnique de Grenoble Frédéric Pétrot/TIMA/INPG

Plus en détail

modèles génériques applicables à la synthèse de contrôleurs discrets pour l Internet des Objets

modèles génériques applicables à la synthèse de contrôleurs discrets pour l Internet des Objets modèles génériques applicables à la synthèse de contrôleurs discrets pour l Internet des Objets Mengxuan Zhao, Gilles Privat, Orange Labs, Grenoble, France Eric Rutten, INRIA, Grenoble, France Hassane

Plus en détail

Ed 03/95 PAQ 1530 NON URGENTE (INFO PRODUIT) TEMPORAIRE DEFINITIVE

Ed 03/95 PAQ 1530 NON URGENTE (INFO PRODUIT) TEMPORAIRE DEFINITIVE d 03/95 PAQ 1530 COMMUNICAION CHNIQU N C0351 Date : 18-09-2002 OmniPCX 4400 Nb de pages : 16 URGN (FASH PRODUI) NON URGN (INFO PRODUI) MPORAIR DFINIIV OBJ : CAR GPA2 Veuillez trouver ci-joint la documentation

Plus en détail

Le Programme SYGADE SYGADE 5.2. Besoins en équipement, logiciels et formation. UNCTAD/GID/DMFAS/Misc.6/Rev.7

Le Programme SYGADE SYGADE 5.2. Besoins en équipement, logiciels et formation. UNCTAD/GID/DMFAS/Misc.6/Rev.7 CONFÉRENCE DES NATIONS UNIES SUR LE COMMERCE ET LE DÉVELOPPEMENT UNITED NATIONS CONFERENCE ON TRADE AND DEVELOPMENT Le Programme SYGADE SYGADE 5.2 Besoins en équipement, logiciels et formation UNCTAD/GID/DMFAS/Misc.6/Rev.7

Plus en détail

La carte à puce. Jean-Philippe Babau

La carte à puce. Jean-Philippe Babau La carte à puce Jean-Philippe Babau Département Informatique INSA Lyon Certains éléments de cette présentation sont issus de documents Gemplus Research Group 1 Introduction Carte à puce de plus en plus

Plus en détail

Nicolas ZERBIB CORNING

Nicolas ZERBIB CORNING Nicolas ZERBIB CORNING Evolutions récentes des technologies et architectures 40/100 Gb dans les Datacenters Nicolas ZERBIB Sales Director South and Eastern Europe CORNING OPTICAL COMMUNICATIONS EMEA Enterprise

Plus en détail

Potentiels de la technologie FPGA dans la conception des systèmes. Avantages des FPGAs pour la conception de systèmes optimisés

Potentiels de la technologie FPGA dans la conception des systèmes. Avantages des FPGAs pour la conception de systèmes optimisés Potentiels de la technologie FPGA dans la conception des systèmes Avantages des FPGAs pour la conception de systèmes optimisés Gérard FLORENCE Lotfi Guedria Agenda 1. Le CETIC en quelques mots 2. Générateur

Plus en détail

MANUEL D UTILISATION PRO-FACE

MANUEL D UTILISATION PRO-FACE MANUEL D UTILISATION PRO-FACE SOMMAIRE Chapitre 1 Procédure d utilisation 1. En créant un écran seul..... 2. En créant un écran plus un programme logique.. 1-1 1-2 Chapitre 2 Du début à la fin 1. Guide.....

Plus en détail

PROGRAMME DU CONCOURS DE RÉDACTEUR INFORMATICIEN

PROGRAMME DU CONCOURS DE RÉDACTEUR INFORMATICIEN PROGRAMME DU CONCOURS DE RÉDACTEUR INFORMATICIEN 1. DÉVELOPPEMENT D'APPLICATION (CONCEPTEUR ANALYSTE) 1.1 ARCHITECTURE MATÉRIELLE DU SYSTÈME INFORMATIQUE 1.1.1 Architecture d'un ordinateur Processeur,

Plus en détail

Application Delivery à la demande

Application Delivery à la demande Sommaire : 2 Renforcer les capacités sans impacter les coûts opérationnels 2 Simplifier le réseau 2 Optimiser les performances des applications à grande échelle 2 Atteindre une fiabilité exceptionnelle

Plus en détail

Conception et Intégration de Systèmes Critiques

Conception et Intégration de Systèmes Critiques Conception et Intégration de Systèmes Critiques 15 12 18 Non 50 et S initier aux méthodes le développement de projet (plan de développement, intégration, gestion de configuration, agilité) Criticité temporelle

Plus en détail

BM Le bus USB 09/05/2013. Le Port USB

BM Le bus USB 09/05/2013. Le Port USB Le Port USB 1 USB : Universal Serial Bus L'Universal Serial Bus (USB) est un bus informatique (série synchrone), il sert à brancher des périphériques informatiques à un hôte (ordinateur). 1)Historique

Plus en détail

Fax sur IP. Panorama

Fax sur IP. Panorama Fax sur IP Panorama Mars 2012 IMECOM Groupe prologue - Z.A. Courtaboeuf II - 12, avenue des Tropiques - B.P. 73-91943 LES ULIS CEDEX - France Phone : + 33 1 69 29 39 39 - Fax : + 33 1 69 28 89 55 - http://www.prologue.fr

Plus en détail

Serrures Locksets LAGUNE. Serrures et paumelles Locksets and hinges. LES + PRODUITS The + product. Design Certifié TUV Nombreuses versions.

Serrures Locksets LAGUNE. Serrures et paumelles Locksets and hinges. LES + PRODUITS The + product. Design Certifié TUV Nombreuses versions. Serrures Locksets LAGUNE Caractéristiques techniques générales Montage sur encoche A Réversible À cylindre européen panneton DIN (norme DIN 1) Rappel du pêne à la clé Pour porte en verre trempé de et mm

Plus en détail

Completed Projects / Projets terminés

Completed Projects / Projets terminés Completed Projects / Projets terminés Nouvelles normes Nouvelles éditions Publications spéciales publiées en français CAN/CSA-ISO/CEI 7498-1-95 (C2004), 1 re édition Technologies de l'information Interconnexion

Plus en détail

Sur un ordinateur portable ou un All-in-One tactile, la plupart des éléments mentionnés précédemment sont regroupés. 10) 11)

Sur un ordinateur portable ou un All-in-One tactile, la plupart des éléments mentionnés précédemment sont regroupés. 10) 11) 1/ Généralités : Un ordinateur est un ensemble non exhaustif d éléments qui sert à traiter des informations (documents de bureautique, méls, sons, vidéos, programmes ) sous forme numérique. Il est en général

Plus en détail

Le module BACnet MS/TP est disponible pour les produits suivants: Intégrateur Supercal 531 Compteur d énergie Superstatic 449

Le module BACnet MS/TP est disponible pour les produits suivants: Intégrateur Supercal 531 Compteur d énergie Superstatic 449 Module BACnet MS/TP Pour les compteurs d énergie thermique Supercal 531, Superstatic 440, Superstatic 449 Le module BACnet MS/TP est Compatible avec ASHARE 135 et ISO 16484-5 Conforme à BACnet Device Profile

Plus en détail

Quoi de neuf en contrôle/commande et systèmes embarqués (RIO, WSN...)?

Quoi de neuf en contrôle/commande et systèmes embarqués (RIO, WSN...)? Quoi de neuf en contrôle/commande et systèmes embarqués (RIO, WSN...)? Mathieu PACE National Instruments, Ingénieur d applications L architecture RIO se développe Processeur FPGA E/S E/S E/S personnalisées

Plus en détail

T. BLOTIN Lycée Paul-Eluard 93206 SAINT-DENIS

T. BLOTIN Lycée Paul-Eluard 93206 SAINT-DENIS T. BLOTIN Lycée Paul-Eluard 93206 SAINT-DENIS SOMMAIRE I. Le VHDL pour qui, pourquoi, quand, comment? A. Le VHDL!...... 1 B. Pourquoi un langage de description?...... 1 C. Les limites actuelles...... 2

Plus en détail

ET 24 : Modèle de comportement d un système Boucles de programmation avec Labview.

ET 24 : Modèle de comportement d un système Boucles de programmation avec Labview. ET 24 : Modèle de comportement d un système Boucles de programmation avec Labview. Sciences et Technologies de l Industrie et du Développement Durable Formation des enseignants parcours : ET24 Modèle de

Plus en détail

RÉALISATION ET MISE AU POINT D UN SYSTÈME DE TÉLÉSURVEILLANCE VIDÉO

RÉALISATION ET MISE AU POINT D UN SYSTÈME DE TÉLÉSURVEILLANCE VIDÉO E.N.S.E.R.B. Romain COMBELAS Frédéric BONNIN 3ème année. Option TIC MÉMOIRE DE FIN D ÉTUDES RÉALISATION ET MISE AU POINT D UN SYSTÈME DE TÉLÉSURVEILLANCE VIDÉO Encadré par : M. Patrice KADIONIK M. Yannick

Plus en détail

Éléments d'architecture des ordinateurs

Éléments d'architecture des ordinateurs Chapitre 1 Éléments d'architecture des ordinateurs Machines take me by surprise with great frequency. Alan Turing 1.1 Le Hardware Avant d'attaquer la programmation, il est bon d'avoir quelques connaissances

Plus en détail

AMICUS 18 (2ème partie) 4) Présentation du logiciel Amicus IDE

AMICUS 18 (2ème partie) 4) Présentation du logiciel Amicus IDE AMICUS 18 (2ème partie) Dans la première partie, nous avions présenté la platine Amicus 18 et nous avions réalisé quelques montages simples. Nous allons découvrir un peu mieux la programmation. Dans la

Plus en détail

Cisco Certified Network Associate Version 4

Cisco Certified Network Associate Version 4 Cisco Certified Network Associate Version 4 Protocoles et concepts de routage Chapitre 2 Le résultat de la commande Router# show interfaces serial 0/1 est le suivant : Serial0/1 is up, line protocol is

Plus en détail