Circuits VLSI programmables

Dimension: px
Commencer à balayer dès la page:

Download "Circuits VLSI programmables"

Transcription

1 Circuits VLSI programmables Alain GUYOT TIMA TIMA Techniques de l'informatique et de la Microélectronique pour l'architecture. Unité associée au C.N.R.S. n B0706 Circuits programmables 1

2 Du Micro au Micron Evolution rapide petit volume faible complexité Microprocesseur, micro-ordinateur monoboitier Circuits programmables dynamiquement Circuits programmables statiquement application figée grand volume grande complexité Tableaux, mer de portes (prédiffusés) Cellules précaractérisées (bibliothèque) Générateurs, compilateurs, dessin au micron Circuits programmables 2

3 Circuits ASIC une application un client une application plusieurs clients pas de spécifications publiques des spécifications publiques un fabricant plusieurs fabricants 24% du marché mondial des IC en 1992 ( M$) Styles: Tableau logique programmable Prédiffusé Précaractérisé mélangé Généré ou Compilé "fait main" full custom Circuits programmables 3

4 Plan PLA Prédiffusés Précaractérisés Générés/Compilés Programmables dynamiquement Comparaison Circuits programmables 4

5 Pla à fusible Entrées Sorties Circuits programmables 5

6 Circuits prédiffusés Canaux de routage Colonnes de portes Mer de portes Mer ou Océan de portes Tableau de portes Programmation par métallisation Programmation par contacts puis métallisation Circuits programmables 6

7 Circuits prédiffusés (2) 90% des ASICs Utilisation d'une bibliothèque de cellules Placement et routage automatique Personnalisation par métallisation 1 à 3 niveaux de métallisation Transistors à emplacement fixe Transistors de taille fixe Pour circuits de faible ou moyenne complexité de petite série non critique en vitesse Canaux de routage cellule périphérique programmable par masque Colonnes de portes Circuits programmables 7

8 Fabrication de prédiffusés circuit entièrement dédié passivation métal 2 via métal 1 contact P+ implant N+ implant polysilicium zone active puits phase de préparation P+ implant N+ implant polysilicium zone active puits phase d'ajustement à l'application passivation métal 2 via métal 1 contact Circuits programmables 8

9 Fabrication de prédiffusés (2) Préparation Stockage Masques standard os Personnalisation Masques spécifiques Circuits programmables 9

10 Exemple de fonction a b c d s a b p p n p p n c d s n n s a b c d Circuits programmables 10

11 Connexion de plusieurs portes Poly Masse Alim Diffusion Circuits programmables 11

12 Tendance de complexité des prédiffusés 10 6 (1 porte 6 transistors) nombre de portes / chip croissance 1,6 / an Circuits programmables 12

13 Circuits précaractérisés Utilisation d'une bibliothèque de cellules Transistors dimensionnés pour le pire cas Interconexions dans canaux de routage Cellules assemblées en bande Placement et routage automatique Mélange avec macrocell ou full custom mégacell macrogénérées Canaux de routage Lignes de cellules Pour circuits moyenne complexité de moyenne série (surface non optimisée) non critique en vitesse Circuits programmables 13

14 Bibliothèque de fonctions précaractérisées φ D reset φ Q D Q Q Vdd inv nand nor static D (maîtreesclave) static D inv Vss La bibliothèque ES2 1,5µ contient entre autres: inverseur,buffer,nand, and, nor, nor, xnor, xor, or-nand, and-nor, multiplexeur, 3-états, additionneur 2 bits cascadable, D flip-flop (maître esclave), D latch, avec set, reset ou les deux Circuits programmables 14

15 Exemple d'assemblage D Q D Q Q inv inv inv inv static D static D inv nand Circuits programmables 15

16 Famille de circuits programmables Circuits à structure de PAL Circuit à structure de PLA Circuits à plusieurs tableaux Circuits microprogrammés Circuits à matrice partitionnée PAL PLA, PLS (Programmable Logic Array) PLM (Programmable Macro Logic) PROSE (AMD), EPS (Altera) EP, MAX (Altera) CAL (Algotronix) Circuit à tableau de cellules LCA, FPGA (Xilinx) Circuits programmables 16

17 Famille de circuits programmables (2) PLDs, PALs, EPLDs < 2K portes tableaux de portes ET/OU programmables programmés par EPROM, fusible ou antifusible Gate Array programmable < 5K portes interconnexions programmées programmé par SRAM, EPROM ou fusible blocs pré-définis Gate Array, Mer de Portes <150 portes personnalisées par interconnexions (métal + contacts) Circuits programmables 17

18 Circuits programmable Réseau régulier de fonctions élémentaires Fonction de chaque cellule programmable Connexions des cellules programmable Chargement du programme à la mise sous tension Programmation chargé dans bascules statiques réparties Possibilité de programmation non volatile Circuits programmables 18

19 Field Programmable Gate Array bloc fonctionnel de programmation vers la logique vers la logique de programmation Antifusible Transistor à grille isolée Transistor commandé par une bascule Circuits programmables 19

20 Programmation Antifusible Transistor à grille isolée Transmission commandée par une bascule Antifusible: 15 à 20 Volts (typ. 18 Volts) 10mA sur la connexion Polycristal Oxyde Nitride Oxyde Polycristal conducteur (<100 Ω) oxyde épais poly ONO E diffusion n antifusible bascule et porte de transmission Circuits programmables 20

21 Field Programmable Gate Array Marché en très forte croissance Intermédiaire entre PAL et Gate Array (programmées par masque) Architecture : tableau de blocs logiques programmables canaux de routage interconnexions programmables Méthode de programmation bascules reliées en série (reconfigurable dynamiquement) EPROM effaçable et reprogrammable antifusible à programmation permanente Circuits programmables 21

22 Tableau de Fonctions XILINX switch matrix switch matrix F4 C4 G4 Q4 G1 G C1 K F1 CLB F Q1 F2 C2 G2 G3 C3 F3 switch matrix switch matrix Circuits programmables 22

23 Bloc diagramme de la fonction CLB XILINX CLB série 4000 contrôle dynamique par broches multiplexeur configuré par programme fonction logique programmable fonction logique programmable fonction logique programmable multiplexeur contrôlé par configuration initiale 1 1 bascule bascule Circuits programmables 23

DOCUMENT PROTEGE PAR UN DROIT DE COPIE. CPLD ou FPGA Critères de choix. page 1

DOCUMENT PROTEGE PAR UN DROIT DE COPIE. CPLD ou FPGA Critères de choix. page 1 Une des caractéristiques du domaine des circuits programmables est d être résolument moderne, tirant parti des évolutions concernant les procédés technologiques, la propriété intellectuelle(ip), l Internet,

Plus en détail

Les portes logiques. Voici les symboles des trois fonctions de base. Portes AND. Portes OR. Porte NOT

Les portes logiques. Voici les symboles des trois fonctions de base. Portes AND. Portes OR. Porte NOT Les portes logiques Nous avons jusqu ici utilisé des boutons poussoirs et une lampe pour illustrer le fonctionnement des opérateurs logiques. En électronique digitale, les opérations logiques sont effectuées

Plus en détail

On distingue deux grandes catégories de mémoires : mémoire centrale (appelée également mémoire interne)

On distingue deux grandes catégories de mémoires : mémoire centrale (appelée également mémoire interne) Mémoire - espace destiné a recevoir, conserver et restituer des informations à traiter - tout composant électronique capable de stocker temporairement des données On distingue deux grandes catégories de

Plus en détail

Introduction à l architecture des ordinateurs. Adrien Lebre Décembre 2007

Introduction à l architecture des ordinateurs. Adrien Lebre Décembre 2007 Introduction à l architecture des ordinateurs Adrien Lebre Décembre 2007 Plan - partie 1 Vue d ensemble La carte mère Le processeur La mémoire principal Notion de bus Introduction à l architecture des

Plus en détail

Chapitre 4 : Les mémoires

Chapitre 4 : Les mémoires 1. Introduction: Chapitre 4 : Les mémoires Nous savons que dans un ordinateur toutes les informations : valeur numérique, instruction, adresse, symbole (chiffre, lettre,... etc.) sont manipulées sous une

Plus en détail

ELP 304 : Électronique Numérique. Cours 1 Introduction

ELP 304 : Électronique Numérique. Cours 1 Introduction ELP 304 : Électronique Numérique Cours 1 Introduction Catherine Douillard Dépt Électronique Les systèmes numériques : généralités (I) En électronique numérique, le codage des informations utilise deux

Plus en détail

Conception de circuits numériques et architecture des ordinateurs

Conception de circuits numériques et architecture des ordinateurs Conception de circuits numériques et architecture des ordinateurs Frédéric Pétrot et Sébastien Viardot Année universitaire 2011-2012 Structure du cours C1 C2 C3 C4 C5 C6 C7 C8 C9 C10 C11 C12 Codage des

Plus en détail

IFT1215 Introduction aux systèmes informatiques

IFT1215 Introduction aux systèmes informatiques Introduction aux circuits logiques de base IFT25 Architecture en couches Niveau 5 Niveau 4 Niveau 3 Niveau 2 Niveau Niveau Couche des langages d application Traduction (compilateur) Couche du langage d

Plus en détail

Conception et Intégration de Systèmes Critiques

Conception et Intégration de Systèmes Critiques Conception et Intégration de Systèmes Critiques 15 12 18 Non 50 et S initier aux méthodes le développement de projet (plan de développement, intégration, gestion de configuration, agilité) Criticité temporelle

Plus en détail

THÈSE DEVANT L UNIVERSITÉ DE RENNES 1

THÈSE DEVANT L UNIVERSITÉ DE RENNES 1 N d ordre : 3881 THÈSE présentée DEVANT L UNIVERSITÉ DE RENNES 1 pour obtenir le grade de : DOCTEUR DE L UNIVERSITÉ DE RENNES 1 Mention : Traitement du Signal et Télécommunications par Julien LALLET Équipe

Plus en détail

Electronique Numérique

Electronique Numérique Electronique Numérique 1er tome Systèmes combinatoires Etienne Messerli Yves Meyer Septembre 2010 Version 1.4 Mise à jour de ce manuel La base du présent manuel a été écrit par M. Yves Meyer de l'école

Plus en détail

Enregistrement automatique. des données

Enregistrement automatique. des données Enregistrement automatique des données Chapitre: 6 Page No.: 1 Il n y a que quelques années que l enregistrement manuel de données géotechniques était de coutume. L introduction de l enregistrement automatique

Plus en détail

BCI - TPSP - Processeurs et Architectures Numériques

BCI - TPSP - Processeurs et Architectures Numériques BCI - TPSP - Processeurs et Architectures Numériques Jean-Luc Danger Guillaume Duc Tarik Graba Philippe Matherat Yves Mathieu Lirida Naviner Alexis Polti Jean Provost c 2002-2011 groupe SEN, Télécom ParisTech

Plus en détail

Présenté par : Sous la direction de :

Présenté par : Sous la direction de : ANNEE UNIVERSITAIRE 2006 2007 LAYOUT DE SWITCHS RF STAGE EFFECTUE A ST MICROELECTRONICS GRENOBLE Rapport de stage de licence professionnelle EISI option microélectronique microsystèmes Présenté par : Sous

Plus en détail

INDEX ACCESSOIRES CES ARTICLES SONT CONDITIONNES AVEC LE LASER MAC VI :

INDEX ACCESSOIRES CES ARTICLES SONT CONDITIONNES AVEC LE LASER MAC VI : LASER MAC VI PC Le présent manuel contient d importantes informations concernant la sécurité, l installation et l utilisation de ce laser. Veuillez lire attentivement ce manuel d instruction et le conserver

Plus en détail

Architecture matérielle des systèmes informatiques

Architecture matérielle des systèmes informatiques Architecture matérielle des systèmes informatiques IDEC, Renens. Version novembre 2003. Avertissement : ce support de cours n est pas destiné à l autoformation et doit impérativement être complété par

Plus en détail

Informatique Industrielle Année 2004-2005. Architecture des ordinateurs Note de cours T.Dumartin

Informatique Industrielle Année 2004-2005. Architecture des ordinateurs Note de cours T.Dumartin Informatique Industrielle Année 2004-2005 Architecture des ordinateurs Note de cours T.Dumartin 1 GENERALITES 5 1.1 INTRODUCTION 5 1.2 QU ENTEND-T-ON PAR ARCHITECTURE? 5 1.3 QU EST CE QU UN MICROPROCESSEUR?

Plus en détail

PROGRAMME DU CONCOURS DE RÉDACTEUR INFORMATICIEN

PROGRAMME DU CONCOURS DE RÉDACTEUR INFORMATICIEN PROGRAMME DU CONCOURS DE RÉDACTEUR INFORMATICIEN 1. DÉVELOPPEMENT D'APPLICATION (CONCEPTEUR ANALYSTE) 1.1 ARCHITECTURE MATÉRIELLE DU SYSTÈME INFORMATIQUE 1.1.1 Architecture d'un ordinateur Processeur,

Plus en détail

QUESTION 1 {2 points}

QUESTION 1 {2 points} ELE4301 Systèmes logiques II Page 1 de 8 QUESTION 1 {2 points} En se servant de paramètres électriques donnés dans le Tableau 1 ci-dessous, on désire déterminer la fréquence d opération du compteur présenté

Plus en détail

Éléments d'architecture des ordinateurs

Éléments d'architecture des ordinateurs Chapitre 1 Éléments d'architecture des ordinateurs Machines take me by surprise with great frequency. Alan Turing 1.1 Le Hardware Avant d'attaquer la programmation, il est bon d'avoir quelques connaissances

Plus en détail

Limitations of the Playstation 3 for High Performance Cluster Computing

Limitations of the Playstation 3 for High Performance Cluster Computing Introduction Plan Limitations of the Playstation 3 for High Performance Cluster Computing July 2007 Introduction Plan Introduction Intérêts de la PS3 : rapide et puissante bon marché L utiliser pour faire

Plus en détail

1. PRESENTATION DU PROJET

1. PRESENTATION DU PROJET Bac STI2D Formation des enseignants Jean-François LIEBAUT Denis PENARD SIN 63 : Prototypage d un traitement de l information analogique et numérique (PSoC) 1. PRESENTATION DU PROJET Les systèmes d éclairage

Plus en détail

Les liaisons SPI et I2C

Les liaisons SPI et I2C DAMÉCOURT BENJAMIN AVRIL 28 Liaisons synchrones Les liaisons SPI et I2C Face arrière d un imac : trois ports USB, un port Firewire 4 et un port Firewire 8 CHRONOLOGIE ANNÉES 7 La liaison SPI et la création

Plus en détail

Logique séquentielle

Logique séquentielle Bascules et logique séquentielle aniel Etiemble de@lri.fr Logique séquentielle Logique séquentielle Le système a des «états» ans un système séquentiel Éléments de mémorisation Les sorties dépendent des

Plus en détail

Cours n 12. Technologies WAN 2nd partie

Cours n 12. Technologies WAN 2nd partie Cours n 12 Technologies WAN 2nd partie 1 Sommaire Aperçu des technologies WAN Technologies WAN Conception d un WAN 2 Lignes Louées Lorsque des connexions dédiées permanentes sont nécessaires, des lignes

Plus en détail

Structure de base d un ordinateur

Structure de base d un ordinateur Structure de base d un ordinateur 1-Définition de l ordinateur L ordinateur est un appareil électronique programmable qui traite automatiquement les informations. Il est constitué de l unité centrale et

Plus en détail

Quoi de neuf en contrôle/commande et systèmes embarqués (RIO, WSN...)?

Quoi de neuf en contrôle/commande et systèmes embarqués (RIO, WSN...)? Quoi de neuf en contrôle/commande et systèmes embarqués (RIO, WSN...)? Mathieu PACE National Instruments, Ingénieur d applications L architecture RIO se développe Processeur FPGA E/S E/S E/S personnalisées

Plus en détail

MEMOIRES MAGNETIQUES A DISQUES RIGIDES

MEMOIRES MAGNETIQUES A DISQUES RIGIDES MEMOIRES MAGNETIQUES A DISQUES RIGIDES PARTIE ELECTRONIQUE Le schéma complet de FP5 est donnée en annexe. Les questions porterons sur la fonction FP5 dont le schéma fonctionnel de degré 2 est présenté

Plus en détail

Hiérarchie matériel dans le monde informatique. Architecture d ordinateur : introduction. Hiérarchie matériel dans le monde informatique

Hiérarchie matériel dans le monde informatique. Architecture d ordinateur : introduction. Hiérarchie matériel dans le monde informatique Architecture d ordinateur : introduction Dimitri Galayko Introduction à l informatique, cours 1 partie 2 Septembre 2014 Association d interrupteurs: fonctions arithmétiques élémentaires Elément «NON» Elément

Plus en détail

Alchin Couderc Flambard TBSEID 2

Alchin Couderc Flambard TBSEID 2 Alchin Couderc Flambard Lycée Charles Blanc TBSEID 2 2008/2009 Depuis le début de notre formation en électronique en lycée professionnel nous avons vu plusieurs domaines d'intervention tel que le brun,

Plus en détail

GPA770 Microélectronique appliquée Exercices série A

GPA770 Microélectronique appliquée Exercices série A GPA770 Microélectronique appliquée Exercices série A 1. Effectuez les calculs suivants sur des nombres binaires en complément à avec une représentation de 8 bits. Est-ce qu il y a débordement en complément

Plus en détail

SIRIUS Safety Integrated. Système de sécurité modulaire 3RK3

SIRIUS Safety Integrated. Système de sécurité modulaire 3RK3 Exemple fonctionnel CD-FE-I-046-V10-FR SIRIUS Safety Integrated Système de sécurité modulaire 3RK3 ARRÊT D URGENCE et surveillance de protecteur mobile avec verrouillage (par ressort) de catégorie 3 suivant

Plus en détail

THEME 1 : L ORDINATEUR ET SON ENVIRONNEMENT. Objectifs

THEME 1 : L ORDINATEUR ET SON ENVIRONNEMENT. Objectifs Architecture Matérielle des Systèmes Informatiques. S1 BTS Informatique de Gestion 1 ère année THEME 1 : L ORDINATEUR ET SON ENVIRONNEMENT Dossier 1 L environnement informatique. Objectifs Enumérer et

Plus en détail

Boîtier Externe USB 3.0 pour Disque Dur 2,5 SATA III avec soutien UASP

Boîtier Externe USB 3.0 pour Disque Dur 2,5 SATA III avec soutien UASP Boîtier Externe USB 3.0 pour Disque Dur 2,5 SATA III avec soutien UASP S2510BMU33 *Le produit actuel peut varier de la photos DE: Bedienungsanleitung - de.startech.com FR: Guide de l'utilisateur - fr.startech.com

Plus en détail

Contribution à la conception par la simulation en électronique de puissance : application à l onduleur basse tension

Contribution à la conception par la simulation en électronique de puissance : application à l onduleur basse tension Contribution à la conception par la simulation en électronique de puissance : application à l onduleur basse tension Cyril BUTTAY CEGELY VALEO 30 novembre 2004 Cyril BUTTAY Contribution à la conception

Plus en détail

VIII- Circuits séquentiels. Mémoires

VIII- Circuits séquentiels. Mémoires 1 VIII- Circuits séquentiels. Mémoires Maintenant le temps va intervenir. Nous avions déjà indiqué que la traversée d une porte ne se faisait pas instantanément et qu il fallait en tenir compte, notamment

Plus en détail

EP 2 339 758 A1 (19) (11) EP 2 339 758 A1 (12) DEMANDE DE BREVET EUROPEEN. (43) Date de publication: 29.06.2011 Bulletin 2011/26

EP 2 339 758 A1 (19) (11) EP 2 339 758 A1 (12) DEMANDE DE BREVET EUROPEEN. (43) Date de publication: 29.06.2011 Bulletin 2011/26 (19) (12) DEMANDE DE BREVET EUROPEEN (11) EP 2 339 758 A1 (43) Date de publication: 29.06.2011 Bulletin 2011/26 (21) Numéro de dépôt: 09179459.4 (51) Int Cl.: H04B 1/69 (2011.01) H03K 5/08 (2006.01) H03K

Plus en détail

Premiers pas sur l ordinateur Support d initiation

Premiers pas sur l ordinateur Support d initiation Premiers pas sur l ordinateur Support d initiation SOMMAIRE de la partie 1 : I. Structure générale de l ordinateur... 1. L Unité centrale... 2 2. Les différents périphériques... 5 II. Démarrer et arrêter

Plus en détail

Aiguilleurs de courant intégrés monolithiquement sur silicium et leurs associations pour des applications de conversion d'énergie

Aiguilleurs de courant intégrés monolithiquement sur silicium et leurs associations pour des applications de conversion d'énergie Aiguilleurs de courant intégrés monolithiquement sur silicium et leurs associations pour des applications de conversion d'énergie ABDELILAH EL KHADIRY ABDELHAKIM BOURENNANE MARIE BREIL DUPUY FRÉDÉRIC RICHARDEAU

Plus en détail

MAC-TC: programmation d un plate forme DSP-FPGA

MAC-TC: programmation d un plate forme DSP-FPGA MAC-TC: programmation d un plate forme DSP-FPGA Tanguy Risset avec l aide de: Nicolas Fournel, Antoine Fraboulet, Claire Goursaud, Arnaud Tisserand - p. 1/17 Plan Partie 1: le système Lyrtech Introduction

Plus en détail

2.1 Le point mémoire statique Le point mémoire statique est fondé sur le bistable, dessiné de manière différente en Figure 1.

2.1 Le point mémoire statique Le point mémoire statique est fondé sur le bistable, dessiné de manière différente en Figure 1. Mémoires RAM 1. LOGIUE STATIUE ET LOGIUE DYNAMIUE Le point mémoire est l élément de base, capable de mémoriser un bit. Il y a deux approches possibles. L approche statique est fondée sur la l'utilisation

Plus en détail

Information. BASES LITTERAIRES Etre capable de répondre à une question du type «la valeur trouvée respecte t-elle le cahier des charges?

Information. BASES LITTERAIRES Etre capable de répondre à une question du type «la valeur trouvée respecte t-elle le cahier des charges? Compétences générales Avoir des piles neuves, ou récentes dans sa machine à calculer. Etre capable de retrouver instantanément une info dans sa machine. Prendre une bouteille d eau. Prendre CNI + convocation.

Plus en détail

Leçon 1 : Les principaux composants d un ordinateur

Leçon 1 : Les principaux composants d un ordinateur Chapitre 2 Architecture d un ordinateur Leçon 1 : Les principaux composants d un ordinateur Les objectifs : o Identifier les principaux composants d un micro-ordinateur. o Connaître les caractéristiques

Plus en détail

Fiche technique CPU 315SN/PN (315-4PN33)

Fiche technique CPU 315SN/PN (315-4PN33) Fiche technique CPU 315SN/PN (315-4PN33) Données techniques N de commande 315-4PN33 Information générale Note - Caractéristiques SPEED-Bus - Données techniques de l'alimentation Alimentation (valeur nominale)

Plus en détail

La carte à puce. Jean-Philippe Babau

La carte à puce. Jean-Philippe Babau La carte à puce Jean-Philippe Babau Département Informatique INSA Lyon Certains éléments de cette présentation sont issus de documents Gemplus Research Group 1 Introduction Carte à puce de plus en plus

Plus en détail

Chimie et physique informatiques

Chimie et physique informatiques Chimie et physique informatiques 1 ère partie Information et ordinateurs 2 ème partie Programmation en Turbo Pascal 1 ère partie Information et ordinateurs Objectif de la 1 ère partie 1) Introduction 2)

Plus en détail

Concevoir son microprocesseur

Concevoir son microprocesseur Concevoir son microprocesseur structure des systèmes logiques Jean-Christophe Buisson Collection Technosup Ellipses Avant-propos Ce livre s adresse aux étudiants en informatique de licence et maîtrise,

Plus en détail

UE Programmation Impérative Licence 2ème Année 2014 2015

UE Programmation Impérative Licence 2ème Année 2014 2015 UE Programmation Impérative Licence 2 ème Année 2014 2015 Informations pratiques Équipe Pédagogique Florence Cloppet Neilze Dorta Nicolas Loménie prenom.nom@mi.parisdescartes.fr 2 Programmation Impérative

Plus en détail

Fiche technique CPU 314SC/DPM (314-6CG13)

Fiche technique CPU 314SC/DPM (314-6CG13) Fiche technique CPU 314SC/DPM (3146CG13) Données techniques N de commande 3146CG13 Type CPU 314SC/DPM Information générale Note Caractéristiques SPEEDBus Technologie SPEED7 24 x DI, 16 x DO, 8 x DIO, 4

Plus en détail

Se former pour réussir!

Se former pour réussir! Centre de Formation Professionnelle du Lycée Agricole http://www.lycee-agricole-laval.com/cfppa-formation-continue.html Catalogue Techniques Agricoles Se former pour réussir! «Si je pouvais être aidé,

Plus en détail

Manipulations du laboratoire

Manipulations du laboratoire Manipulations du laboratoire 1 Matériel Les manipulations de ce laboratoire sont réalisées sur une carte électronique comprenant un compteur 4-bit asynchrone (74LS93) avec possibilité de déclenchement

Plus en détail

Conférence sur les microcontroleurs.

Conférence sur les microcontroleurs. Conférence sur les microcontroleurs. Le microcontrôleur Les besoins et le développement. Vers 1970, pour des calculs (calculatrice). Le premier est le 4004 de Intel, 90K. La technologie. Les 2 principales

Plus en détail

Serveur Lynx CALLEO Application 2240S Fiches Technique

Serveur Lynx CALLEO Application 2240S Fiches Technique Fiches Technique Flexible et innovant + Le serveur d application est particulièrement adapté pour les applications générales des entreprises et satisfait également les exigences les plus strictes. Grâce

Plus en détail

MODULE I1. Plan. Introduction. Introduction. Historique. Historique avant 1969. R&T 1ère année. Sylvain MERCHEZ

MODULE I1. Plan. Introduction. Introduction. Historique. Historique avant 1969. R&T 1ère année. Sylvain MERCHEZ MODULE I1 Plan Chapitre 1 Qu'est ce qu'un S.E? Introduction Historique Présentation d'un S.E Les principaux S.E R&T 1ère année Votre environnement Sylvain MERCHEZ Introduction Introduction Rôles et fonctions

Plus en détail

Alcatel OmniPCX Enterprise TSC-IP V1 (4098RE)

Alcatel OmniPCX Enterprise TSC-IP V1 (4098RE) Alcatel OmniPCX Enterprise TSC-IP V1 (4098RE) NOTE : Les spécifications Produit contenues dans ce document peuvent évoluer sans information préalable. Les produits et services décrits dans ce document

Plus en détail

Architecture des ordinateurs TD1 - Portes logiques et premiers circuits

Architecture des ordinateurs TD1 - Portes logiques et premiers circuits Architecture des ordinateurs TD1 - Portes logiques et premiers circuits 1 Rappel : un peu de logique Exercice 1.1 Remplir la table de vérité suivante : a b a + b ab a + b ab a b 0 0 0 1 1 0 1 1 Exercice

Plus en détail

Serveur Lynx CALLEO Application 2240 Fiches Technique

Serveur Lynx CALLEO Application 2240 Fiches Technique Fiches Technique Flexible et innovant + Le serveur d application est particulièrement adapté pour les applications générales des entreprises et satisfait également les exigences les plus strictes. Grâce

Plus en détail

Évaluation des compétences. Identification du contenu des évaluations. Septembre 2014

Évaluation des compétences. Identification du contenu des évaluations. Septembre 2014 Identification du contenu des évaluations Septembre 2014 Tous droits réservés : Université de Montréal Direction des ressources humaines Table des matières Excel Base version 2010... 1 Excel intermédiaire

Plus en détail

Indicateur. IDé 500. Descriptif indicateur. Schéma/Encombrement

Indicateur. IDé 500. Descriptif indicateur. Schéma/Encombrement Indicateur ML IDé 500 Descriptif indicateur Terminal de pesage compatible avec tous les capteurs de pesage analogiques à jauge de contrainte, optimisé pour les capteurs numériques ARPEGE MASTER K. Cet

Plus en détail

Le langage VHDL. Eduardo Sanchez EPFL

Le langage VHDL. Eduardo Sanchez EPFL Le langage VHDL Eduardo Sanchez EPFL Livres conseillés: John F. Wakerly Digital design (4th edition) Prentice Hall, 2005 Peter J. Ashenden The designer's guide to VHDL (3rd edition) Morgan Kaufmann, 2008

Plus en détail

Modules d automatismes simples

Modules d automatismes simples Modules d automatismes simples Solutions pour automatiser Modules d'automatismes Enfin, vraiment simple! Un concentré de solution Pour vos petites applications d'automatismes millenium gère : Temporisations

Plus en détail

ContrôleEnvironnemental

ContrôleEnvironnemental Fiche technique De nombreux capteurs, détecteurs et modules d extension pour les appareils de contrôle environnemental complètent la gamme de produits. Tous les produits sont développés par Neol et respectent

Plus en détail

PIC EVAL Dev Board PIC18F97J60

PIC EVAL Dev Board PIC18F97J60 PIC EVAL Dev Board PIC18F97J60 2 TP1 : Prise en main de l environnement de programmation pour la carte PIC EVAL-ANFA Pour répondre aux questions et justifier vos réponses, vous pouvez faire des copies

Plus en détail

Logique binaire. Aujourd'hui, l'algèbre de Boole trouve de nombreuses applications en informatique et dans la conception des circuits électroniques.

Logique binaire. Aujourd'hui, l'algèbre de Boole trouve de nombreuses applications en informatique et dans la conception des circuits électroniques. Logique binaire I. L'algèbre de Boole L'algèbre de Boole est la partie des mathématiques, de la logique et de l'électronique qui s'intéresse aux opérations et aux fonctions sur les variables logiques.

Plus en détail

ADÉQUATION ALGORITHME-ARCHITECTURE APPLIQUÉE AUX CIRCUITS RECONFIGURABLES

ADÉQUATION ALGORITHME-ARCHITECTURE APPLIQUÉE AUX CIRCUITS RECONFIGURABLES ADÉQUATION ALGORITHME-ARCHITECTURE APPLIQUÉE AUX CIRCUITS RECONFIGURABLES AILTON F. DIAS, MOHAMED AKIL, CHRISTOPHE LAVARENNE, YVES SOREL CNEN/CDTN Divisão de Computação e Informação, CP 941-012-970 Belo

Plus en détail

Informatique UE 102. Jean-Yves Antoine. Architecture des ordinateurs et Algorithmique de base. UFR Sciences et Techniques Licence S&T 1ère année

Informatique UE 102. Jean-Yves Antoine. Architecture des ordinateurs et Algorithmique de base. UFR Sciences et Techniques Licence S&T 1ère année UFR Sciences et Techniques Licence S&T 1ère année Informatique UE 102 Architecture des ordinateurs et Algorithmique de base Jean-Yves Antoine http://www.info.univ-tours.fr/~antoine/ UFR Sciences et Techniques

Plus en détail

Spécifications détaillées

Spécifications détaillées Hewlett Packard HP ProLiant ML110 G5 Serveur micro tour 4U 1 voie 1 x Xeon E3110 / 3 GHz RAM 1 Go Disque dur 1 x 250 Go DVD RW Gigabit Ethernet Moniteur : aucun(e) Le serveur HP ProLiant ML110 G5 offre

Plus en détail

Un ordinateur, c est quoi?

Un ordinateur, c est quoi? B-A.BA Un ordinateur, c est quoi? Un ordinateur, c est quoi? Un ordinateur est une machine dotée d'une unité de traitement lui permettant d'exécuter des programmes enregistrés. C'est un ensemble de circuits

Plus en détail

Le multiplexage. Sommaire

Le multiplexage. Sommaire Sommaire Table des matières 1- GENERALITES... 2 1-1 Introduction... 2 1-2 Multiplexage... 4 1-3 Transmission numérique... 5 2- LA NUMERATION HEXADECIMALE Base 16... 8 3- ARCHITECTURE ET PROTOCOLE DES RESEAUX...

Plus en détail

Contrôleur de communications réseau. Guide de configuration rapide DN1657-0606

Contrôleur de communications réseau. Guide de configuration rapide DN1657-0606 K T - N C C Contrôleur de communications réseau Guide de configuration rapide DN1657-0606 Objectif de ce document Ce Guide de configuration rapide s adresse aux installateurs qui sont déjà familiers avec

Plus en détail

École Nationale d Ingénieurs de Sfax. Cycle de Formation doctorale dans la discipline Ingénierie des Systèmes Informatiques

École Nationale d Ingénieurs de Sfax. Cycle de Formation doctorale dans la discipline Ingénierie des Systèmes Informatiques École Nationale d Ingénieurs de Sfax Cycle de Formation doctorale dans la discipline Ingénierie des Systèmes Informatiques & Université de Cergy-Pontoise Ecole Doctorale Sciences et Ingénierie Spécialité:

Plus en détail

Traceur GPS TK102 2 COBAN

Traceur GPS TK102 2 COBAN Traceur GPS TK102 2 COBAN Manuel utilisateur Préface Nous vous remercions d'avoir acheté un traceur TK102-2. Ce manuel indique comment faire fonctionner l'appareil correctement et par étape. Assurez-vous

Plus en détail

Flowcode - Mode d'emploi

Flowcode - Mode d'emploi Flowcode - Mode d'emploi Sommaire I) Introduction Introduction 4 Nouveautés de la version 2 5 Les microcontrôleurs PIC 5 Support technique 7 II) Pour commencer Concevoir un algorigramme pour un composant

Plus en détail

Les systèmes embarqués Introduction. Richard Grisel Professeur des Universités Université de Rouen Nacer Abouchi Professeur ESCPE Lyon

Les systèmes embarqués Introduction. Richard Grisel Professeur des Universités Université de Rouen Nacer Abouchi Professeur ESCPE Lyon Les systèmes embarqués Introduction Richard Grisel Professeur des Universités Université de Rouen Nacer Abouchi Professeur ESCPE Lyon Introduction aux systèmes embarqués Définition. Caractéristiques d

Plus en détail

Lyre Matricielle Beam. 25 Leds 4 en 1 de 15W. Manuel de l utilisateur

Lyre Matricielle Beam. 25 Leds 4 en 1 de 15W. Manuel de l utilisateur Lyre Matricielle Beam 25 Leds 4 en 1 de 15W Manuel de l utilisateur www.star-way.com version 18092014 VG1 Table des matières Spécifications techniques 3 Photométrie 4 Garantie 5 Consignes de sécurité 6

Plus en détail

Configurer le Serveur avec une adresse IP Statique (INTERFACE :FastEthernet) : 172.16.0.253 et un masque 255.255.0.0

Configurer le Serveur avec une adresse IP Statique (INTERFACE :FastEthernet) : 172.16.0.253 et un masque 255.255.0.0 RES_TP3 Objectifs : Les réseaux informatiques : Client - Serveur Utilisation de serveurs DHCP HTTP DNS FTP Configuration basique d un routeur Utilisation du simulateur CISCO PACKET TRACER G.COLIN Architecture

Plus en détail

Algèbre binaire et Circuits logiques (2007-2008)

Algèbre binaire et Circuits logiques (2007-2008) Université Mohammed V Faculté des Sciences Département de Mathématiques et Informatique Filière : SMI Algèbre binaire et Circuits logiques (27-28) Prof. Abdelhakim El Imrani Plan. Algèbre de Boole 2. Circuits

Plus en détail

Windows 2000: W2K: Architecture. Introduction. W2K: amélioration du noyau. Gamme windows 2000. W2K pro: configuration.

Windows 2000: W2K: Architecture. Introduction. W2K: amélioration du noyau. Gamme windows 2000. W2K pro: configuration. Windows 2000: Introduction W2K: Architecture Système d'exploitation multitâche multithread 32 bits à architecture SMP. Multiplateforme: intel x86, Compaq Alpha Jusqu'à 64 Go de mémoire vive Système d'exploitation

Plus en détail

MPI Activité.10 : Logique binaire Portes logiques

MPI Activité.10 : Logique binaire Portes logiques MPI Activité.10 : Logique binaire Portes logiques I. Introduction De nombreux domaines font appel aux circuits logiques de commutation : non seulement l'informatique, mais aussi les technologies de l'asservissement

Plus en détail

DESCRIPTION DU CONCOURS QUÉBÉCOIS 2014 39 INFORMATIQUE (GESTION DE RÉSEAUX)

DESCRIPTION DU CONCOURS QUÉBÉCOIS 2014 39 INFORMATIQUE (GESTION DE RÉSEAUX) DESCRIPTION DU CONCOURS QUÉBÉCOIS 2014 39 INFORMATIQUE (GESTION DE RÉSEAUX) 1. DESCRIPTION DU CONCOURS 1.1. But de l épreuve La compétition permet aux étudiants 1 de mettre à l épreuve leurs connaissances

Plus en détail

Cours 3 : L'ordinateur

Cours 3 : L'ordinateur Cours 3 : L'ordinateur Abdelkrim Zehioua 2éme année Licence Gestion Faculté des sciences Économiques et sciences de Gestion Université A, Mehri - Constantine 2 Plan du cours 1.Définitions de l'ordinateur

Plus en détail

GUIDE Excel (version débutante) Version 2013

GUIDE Excel (version débutante) Version 2013 Table des matières GUIDE Excel (version débutante) Version 2013 1. Créer un nouveau document Excel... 3 2. Modifier un document Excel... 3 3. La fenêtre Excel... 4 4. Les rubans... 4 5. Saisir du texte

Plus en détail

Programme «Analyste Programmeur» Diplôme d état : «Développeur Informatique» Homologué au niveau III (Bac+2) (JO N 176 du 1 août 2003) (34 semaines)

Programme «Analyste Programmeur» Diplôme d état : «Développeur Informatique» Homologué au niveau III (Bac+2) (JO N 176 du 1 août 2003) (34 semaines) Programme «Analyste Programmeur» Diplôme d état : «Développeur Informatique» Homologué au niveau III (Bac+2) (JO N 176 du 1 août 2003) (34 semaines) Module 1 : Programmer une application informatique Durée

Plus en détail

III Capteurs et actuateurs

III Capteurs et actuateurs III Capteurs et actuateurs Tous les systèmes électroniques ont en commun qu ils fonctionnent selon le principe ETS (Entrée, Traitement, Sortie) du traitement de l information. ENTRÉE TRAITEMENT SORTIE

Plus en détail

Modélisation de la Reconfiguration Dynamique appliquée à un décodeur LDPC Non Binaire

Modélisation de la Reconfiguration Dynamique appliquée à un décodeur LDPC Non Binaire Modélisation de la Reconfiguration Dynamique appliquée à un décodeur LDPC Non Binaire LAURA CONDE-CANENCIA 1, JEAN-CHRISTOPHE.PREVOTET 2, YASET OLIVA 2, YVAN EUSTACHE 1 1 Université Européenne de Bretagne

Plus en détail

Circuits intégrés micro-ondes

Circuits intégrés micro-ondes Chapitre 7 Circuits intégrés micro-ondes Ce chapitre sert d introduction aux circuits intégrés micro-ondes. On y présentera les éléments de base (résistance, capacitance, inductance), ainsi que les transistors

Plus en détail

Guide de l Utilisateur

Guide de l Utilisateur Laboratoire Multimédia pour Explorer le Monde de l Electricité et de l Electronique Version 4 Guide de l Utilisateur DesignSoft Laboratoire Multimédia pour Explorer le Monde de l Electricité et de l Electronique

Plus en détail

Une histoire de la microélectronique

Une histoire de la microélectronique Une histoire de la microélectronique Philippe Matherat GET - Télécom-Paris - Comelec / CNRS - LTCI (UMR 5141) http://www.comelec.enst.fr/ matherat/ Résumé Ce texte est une esquisse d histoire de la microélectronique,

Plus en détail

Les fonctions logiques

Les fonctions logiques 1 Les fonctions logiques Le fonctionnement des ordinateurs tout comme d autres appareils électroniques repose sur l emploi des circuits électroniques de logique binaire ou électronique numérique. Dans

Plus en détail

TD Architecture des ordinateurs. Jean-Luc Dekeyser

TD Architecture des ordinateurs. Jean-Luc Dekeyser TD Architecture des ordinateurs Jean-Luc Dekeyser Fiche 1 Nombres de l informatique Exercice 1 Une entreprise désire réaliser la sauvegarde de ses données sur un site distant. Le volume de données à sauvegarder

Plus en détail

Organisation des Ordinateurs

Organisation des Ordinateurs Organisation des Ordinateurs Bernard Boigelot E-mail : boigelot@montefiore.ulg.ac.be URL : http://www.montefiore.ulg.ac.be/~boigelot/ http://www.montefiore.ulg.ac.be/~boigelot/cours/org/ 1 Chapitre 1 Les

Plus en détail

Tout savoir sur le matériel informatique

Tout savoir sur le matériel informatique Tout savoir sur le matériel informatique Thème de l exposé : Les Processeurs Date : 05 Novembre 2010 Orateurs : Hugo VIAL-JAIME Jérémy RAMBAUD Sommaire : 1. Introduction... 3 2. Historique... 4 3. Relation

Plus en détail

Manuel de référence O.box

Manuel de référence O.box Manuel de référence O.box Descriptif du coffret O.box est un boîtier plastique utilisable sur étagère, position murale en en rack 19 pouces. La connectique et les voyants sont en face avant. La connectique,

Plus en détail

Livret - 1. Informatique : le matériel. --- Ordinateur, circuits, codage, système, réseau. Cours informatique programmation.

Livret - 1. Informatique : le matériel. --- Ordinateur, circuits, codage, système, réseau. Cours informatique programmation. Livret - 1 Informatique : le matériel --- Ordinateur, circuits, codage, système, réseau. RM di scala Cours informatique programmation Rm di Scala - http://www.discala.net SOMMAIRE Introduction 2 Notations

Plus en détail

TABLE DES MATIÈRES 1. DÉMARRER ISIS 2 2. SAISIE D UN SCHÉMA 3 & ' " ( ) '*+ ", ##) # " -. /0 " 1 2 " 3. SIMULATION 7 " - 4.

TABLE DES MATIÈRES 1. DÉMARRER ISIS 2 2. SAISIE D UN SCHÉMA 3 & '  ( ) '*+ , ##) #  -. /0  1 2  3. SIMULATION 7  - 4. TABLE DES MATIÈRES 1. DÉMARRER ISIS 2 2. SAISIE D UN SCHÉMA 3! " #$ % & ' " ( ) '*+ ", ##) # " -. /0 " 1 2 " 3' & 3. SIMULATION 7 0 ( 0, - 0 - " - & 1 4. LA SOURIS 11 5. LES RACCOURCIS CLAVIER 11 STI Electronique

Plus en détail

Conception Systèmes numériques VHDL et synthèse automatique des circuits

Conception Systèmes numériques VHDL et synthèse automatique des circuits Année 2008-2009 Conception Systèmes numériques VHDL et synthèse automatique des circuits Travaux pratiques Pentium4 Présentation du simulateur VHDL sous environnement Cadence Présentation de l outil Synopsys

Plus en détail

La continuité de service

La continuité de service La continuité de service I INTRODUCTION Si la performance est un élément important de satisfaction de l'utilisateur de réseau, la permanence de la disponibilité des ressources l'est encore davantage. Ici

Plus en détail

Catalogue Produits. 2011 v1

Catalogue Produits. 2011 v1 Catalogue Produits 2011 v1 A propos d Actisense INTRODUCTION Interfaces et Sondes intelligentes Actisense. Depuis plusieurs années Actisense est synonyme d excellence dans le domaine des sondes intelligentes,

Plus en détail

CONVERTISSEURS NA ET AN

CONVERTISSEURS NA ET AN Convertisseurs numériques analogiques (xo Convertisseurs.doc) 1 CONVTIU NA T AN NOT PLIMINAI: Tous les résultats seront exprimés sous formes littérales et encadrées avant les applications numériques. Les

Plus en détail

qwertyuiopasdfghjklzxcvbnmqwerty uiopasdfghjklzxcvbnmqwertyuiopasd fghjklzxcvbnmqwertyuiopasdfghjklzx cvbnmqwertyuiopasdfghjklzxcvbnmq

qwertyuiopasdfghjklzxcvbnmqwerty uiopasdfghjklzxcvbnmqwertyuiopasd fghjklzxcvbnmqwertyuiopasdfghjklzx cvbnmqwertyuiopasdfghjklzxcvbnmq qwertyuiopasdfghjklzxcvbnmqwerty uiopasdfghjklzxcvbnmqwertyuiopasd fghjklzxcvbnmqwertyuiopasdfghjklzx COURS DE PERFECTIONNEMENT cvbnmqwertyuiopasdfghjklzxcvbnmq L ordinateur et Windows 2014-2015 wertyuiopasdfghjklzxcvbnmqwertyui

Plus en détail