Page de garde, ne pas photocopier.

Dimension: px
Commencer à balayer dès la page:

Download "Page de garde, ne pas photocopier."

Transcription

1 Page de garde, ne pas photocopier. Page 1 sur 17

2 UESTION 1 {4 points} La figure ci-dessous montre le diagramme d'états d'une machine séquentielle algorithmique (MSA). A SB Z SE B X C SB Z SE X E X V SB SE F G H V SB SE X I Y J W SB SB K Page 2 sur 17

3 UESTION 1 {suite} a) Simplifiez et redessinez le diagramme d'états de cette MSA. {0,5 point} b et c sont redondants A Z SB SE B X Z SB SE E X V SE SB F G H V SE SB X I Y J W SB SB K Page 3 sur 17

4 UESTION 1 {suite} b) Comment pouvons-nous minimiser la partie IFL à partir du diagramme trouvé en a)? Expliquez et représentez l assignation des états à l aide de la table de Karnaugh. {0,5 point} États adjacents selon P2 : F-H P1 : A-B J-K A-K B- B-K(ne peut pas être réalisé) G-E État A K J (I) 01 B (X) (X) 11 (X) (X) F H 10 G E (X) (X) c) Comment pouvons-nous minimiser la partie OFL à partir du diagramme trouvé en a)? Expliquez et représentez l assignation des états à l aide de la table de Karnaugh. {0,5 point} P3 : A- E-G État A (B) (F) 01 (H) (I) (J) (K) 11 E G (X) (X) 10 (X) (X) (X) (X) Page 4 sur 17

5 UESTION 1 {suite} d) Comment pouvons-nous éviter les transitoires à partir du diagramme trouvé en a)? Expliquez et représentez l assignation des états à l aide de la table de Karnaugh. {0,5 point} Le passage d un état à un autre ne doit pas passer par un état qui génère une sortie. Il faut également tenir compte des états facultatifs lors de la simplification de l IFL. État A* 0 B K 01 J*** X * I 11 X X E** H 10 X X F G** X : don t care, 0 : aucune sortie active (considérer 0 lors de la simplification de l OFL) e) En supposant les états I (0001), J (1001) et K (1100) et l entrée asynchrone Y, trouvez les états erronés et donnez 2 approches différentes pour les éviter. {1 point} J: 1001 K: 1100 États possibles: 1X0X État erroné 1: 1101 État erroné 1: re approche : Synchroniser l entrée Y selon AST ou ST 2 e approche : État J et K adjacent. Page 5 sur 17

6 UESTION 1 {suite} f) Adoptez l'assignation (d) pour réaliser le circuit des sorties Z et W de cette MSA. {1 point} Z ETAT-A ETAT- CLK Z W CLK ETAT-J W Page 6 sur 17

7 UESTION 2 {3,5 points} Trouvez la fréquence maximale d opération de ce compteur en code Gray dont la séquence est 0, 1, 3, 2, 6, 7, 5, 4, 12, 13, 15, 14, 10, 11, 9, 8, 0, 1, 0 2 1* 1* 0* 3* * 0* 3* 1 2* * 1 0* 1 1* 3 2 1* CLK 0 0* Page 7 sur 17

8 UESTION 2 {suite} Paramètres électriques de composants Composant tp HL tp LH t SU t H NON-ET 7 ns 4 ns NON-OU 5 ns 6 ns XOR 9 ns 7 ns Inverseur 3 ns 2 ns Bascule 16 ns 12 ns 10 ns 2 ns Sequence * 1* 0* 3* L-H H L 0* 3* * 2 0 H H L H-L H-L 1 0* L L-H H H-L L-H 3 3* 2 2* 1 1* * CLK 0 0* T= tphl bascule + tphl non-et + tplh non-ou + tphl non-ou + tplh non-et + Tsu bascule T= 16ns + 7ns + 6ns + 5ns + 4ns + 10ns = 48ns fmax = 1/48ns = 20,83MHz Page 8 sur 17

9 UESTION 3 {1,5 point} a) Complétez le schéma ci-dessous afin de réaliser un multivibrateur astable avec un circuit 555. {1 point} Page 9 sur 17

10 UESTION 3 {suite} b) Complétez le diagramme de phase suivant {0,5 point} Page 10 sur 17

11 UESTION 4 {2 points} a) essinez une mémoire ROM à diode possédant 3 bits d adresse et 2 bits de données et qui réalise les équations Z et Y suivantes. {1,5 point} Z =AB+C Y = A(B C) où =XNOR Vcc Vcc E C O E U R A2 A1 A0 Z Y A B C b) Nommez 1 avantage et 1 inconvénient de la mémoire RAM par rapport à la mémoire SRAM. {0,5 point} Avantage : Cellule mémoire plus petite, occupe moins d'espace, coût faible Inconvénient : Nécessité de rafraîchir la mémoire Page 11 sur 17

12 UESTION 5 {1 point} a) Nommez 2 caractéristiques qui distinguent l entrée «horloge» d une entrée générale dans un FPGA. {0,5 point } 1 re : istribution de l horloge balancée à travers le chip 2 e : Fan-out plus grand b) Au point de vue architecturale, qu est-ce qui différentie un CPL d un FPGA? {0,5 point} CPL constituer de module PL, GAL, PLA FPGA constituer de LUT, MUX en plus des PL, GAL, PLA Page 12 sur 17

13 UESTION 6 {5 points} On veut commercialiser une console de son «bon marché» qui permettra de mélanger 4 sources analogiques (i.e. de faire la somme des amplitudes de quatre signaux analogiques). Le gain de chacune des entrées est réglable de façon analogique à l'aide de potentiomètres (p0 p3). Il a été évalué qu'une bande passante de 22 khz et une précision de 8-bit seront suffisantes pour la qualité de la numérisation des signaux quant au marché visé. a) Complétez le schéma-bloc du système ci-dessous et déterminez la valeur de n. {1 point} p0 Sélection - Addition source 0 A E/B R0 8 p1 source 1 p2 source 2 p3 source 3 A A A E/B E/B E/B 2 Multiplexeur analogique clk CAN fin_conversion debut_conversion 8 L R1 8 L R2 8 L R3 8 L clk Additionneur add_out 10 n R4 L CNA filtre sortie ld MSA ld4 b) éterminez la fréquence d'échantillonnage minimale du CAN {0,5 point} f ECH = 4 * 2 * BW = 8 * 22kHz = 176 khz Page 13 sur 17

14 UESTION 6 {suite} c) Choisissez une architecture pour le CAN en fonction des données connues du problème et déterminez la fréquence minimale de l'horloge du système. {1 point} Rampe simple: fclk = 2 n * f ECH = 45 MHz Rampe double: fclk = 2 * 2 n * f ECH = 90 MHz d) éterminez la fréquence d'opération du CNA. {0,5 point} f CNA = 44 khz e) éterminez la fréquence de coupure appropriée pour le filtre passe-bas à la sortie du CNA. {0,5 point} f c = 22 khz Page 14 sur 17

15 UESTION 6 {suite} f) Complétez le code VHL ci-dessous pour réaliser les fonctions identifiées dans le module «Sélection Addition» tel qu'illustré dans le schéma bloc de la partie a). {1,5 point} entity selection_addition is port( clk : in std_logic; ld : in std_logic_vector(3 downto 0); ld4 : in std_logic; datain : in std_logic_vector(7 downto 0); dataout : buffer std_logic_vector(n-1 downto 0) ); architecture comportementale of selection_addition is signal r0, r1, r2, r3: std_logic_vector(7 downto 0); signal add_out : std_logic_vector(9 downto 0); signal add0, add1 : std_logic_vector(8 downto 0); begin -- escription du demux et de tous les registres XREGISTRES: process(clk) begin if (clk'event and clk = ) then -- Exemple pour R0, meme chose pour R1, R2, R3. if (ld(0) = ) then r0 <= datain; else r0 <= r0; end if; if (ld4 = ) then dataout <= add_out(9 downto 2); else dataout <= dataout; end if; end if; end process XREGISTRES; -- escription de l'additionneur add0 <= r0 + r1; add1 <= r2 + r3; add_out <= add0 + add1; end; Page 15 sur 17

16 UESTION 7 {3 points} Les questions suivantes portent sur le photomètre électronique, le 2 ième projet réalisé lors des travaux pratiques. a) uel type de circuit programmable avez-vous utilisé pour la réalisation du projet 2 et combien de registres et combien de sorties compte ce circuit programmable? {0,5 point} GAL20V8 8 registres 10 sorties b) uelle est la taille maximale (nombre d'états) d'une MSA réalisée uniquement avec le circuit programmable identifié en a)? {0,5 point} 2 8 = machine à 256 états c) uel est le plan (ET, OU) programmable pour le circuit identifié en a) et quelle est la limitation particulière rattachée à ce type d'architecture? {0,5 point} Le plan ET est programmable, ce qui limite la complexité des équations (i.e. le nombre de produits dans une somme). Page 16 sur 17

17 UESTION 7 {suite} d) Les sorties du circuit programmable sont en logique négative. uel est l'implication sur la programmation du circuit? {0,5 point} La génération du fichier de programmation utilise l'équation complémentée dans le but de conserver la logique de la sortie. e) La mesure de luminosité peut varier entre 0% et 100%. uelle est la résolution maximale (i.e. plus petit incrément entre deux valeurs consécutives) de la luminosité et quels sont les facteurs limitatifs? {1 point} Pour un CAN de 8 bits: on a 256 valeurs donc 255 incréments. Résolution maximale est de 100 / 255 = 0,392% Pour atteindre cette résolution, la plage d'entrée du CAN doit être complètement utilisée. La taille de la ROM doit être suffisante. Bon examen! Stéphane Boyer Philippe Lévesque Page 17 sur 17

QUESTION 1 {2 points}

QUESTION 1 {2 points} ELE4301 Systèmes logiques II Page 1 de 8 QUESTION 1 {2 points} En se servant de paramètres électriques donnés dans le Tableau 1 ci-dessous, on désire déterminer la fréquence d opération du compteur présenté

Plus en détail

Manipulations du laboratoire

Manipulations du laboratoire Manipulations du laboratoire 1 Matériel Les manipulations de ce laboratoire sont réalisées sur une carte électronique comprenant un compteur 4-bit asynchrone (74LS93) avec possibilité de déclenchement

Plus en détail

Architecture des ordinateurs TD1 - Portes logiques et premiers circuits

Architecture des ordinateurs TD1 - Portes logiques et premiers circuits Architecture des ordinateurs TD1 - Portes logiques et premiers circuits 1 Rappel : un peu de logique Exercice 1.1 Remplir la table de vérité suivante : a b a + b ab a + b ab a b 0 0 0 1 1 0 1 1 Exercice

Plus en détail

IFT1215 Introduction aux systèmes informatiques

IFT1215 Introduction aux systèmes informatiques Introduction aux circuits logiques de base IFT25 Architecture en couches Niveau 5 Niveau 4 Niveau 3 Niveau 2 Niveau Niveau Couche des langages d application Traduction (compilateur) Couche du langage d

Plus en détail

SIN-FPGA DESCRIPTION PAR SCHEMA

SIN-FPGA DESCRIPTION PAR SCHEMA SIN-FPGA DESCRIPTION PAR SCHEMA Documents ressources: http://www.altera.com/literature/lit-index.html Introduction to Quartus II : intro_to_quartus2.pdf Documentation QUARTUS II : quartusii_handbook.pdf

Plus en détail

Le langage VHDL. Eduardo Sanchez EPFL

Le langage VHDL. Eduardo Sanchez EPFL Le langage VHDL Eduardo Sanchez EPFL Livres conseillés: John F. Wakerly Digital design (4th edition) Prentice Hall, 2005 Peter J. Ashenden The designer's guide to VHDL (3rd edition) Morgan Kaufmann, 2008

Plus en détail

T. BLOTIN Lycée Paul-Eluard 93206 SAINT-DENIS

T. BLOTIN Lycée Paul-Eluard 93206 SAINT-DENIS T. BLOTIN Lycée Paul-Eluard 93206 SAINT-DENIS SOMMAIRE I. Le VHDL pour qui, pourquoi, quand, comment? A. Le VHDL!...... 1 B. Pourquoi un langage de description?...... 1 C. Les limites actuelles...... 2

Plus en détail

1. PRESENTATION DU PROJET

1. PRESENTATION DU PROJET Bac STI2D Formation des enseignants Jean-François LIEBAUT Denis PENARD SIN 63 : Prototypage d un traitement de l information analogique et numérique (PSoC) 1. PRESENTATION DU PROJET Les systèmes d éclairage

Plus en détail

Equipement. électronique

Equipement. électronique MASTER ISIC Les générateurs de fonctions 1 1. Avant-propos C est avec l oscilloscope, le multimètre et l alimentation stabilisée, l appareil le plus répandu en laboratoire. BUT: Fournir des signau électriques

Plus en détail

Architecture : Circuits numériques et éléments d architecture

Architecture : Circuits numériques et éléments d architecture Ecole Nationale Supérieure d Informatique et de Mathématiques Appliquées Architecture : Circuits numériques et éléments d architecture 1 ère année Année scolaire 2014 2015 Consignes Les exercices de ce

Plus en détail

ELP 304 : Électronique Numérique. Cours 1 Introduction

ELP 304 : Électronique Numérique. Cours 1 Introduction ELP 304 : Électronique Numérique Cours 1 Introduction Catherine Douillard Dépt Électronique Les systèmes numériques : généralités (I) En électronique numérique, le codage des informations utilise deux

Plus en détail

GPA770 Microélectronique appliquée Exercices série A

GPA770 Microélectronique appliquée Exercices série A GPA770 Microélectronique appliquée Exercices série A 1. Effectuez les calculs suivants sur des nombres binaires en complément à avec une représentation de 8 bits. Est-ce qu il y a débordement en complément

Plus en détail

INTRODUCTION A L ELECTRONIQUE NUMERIQUE ECHANTILLONNAGE ET QUANTIFICATION I. ARCHITECTURE DE L ELECRONIQUE NUMERIQUE

INTRODUCTION A L ELECTRONIQUE NUMERIQUE ECHANTILLONNAGE ET QUANTIFICATION I. ARCHITECTURE DE L ELECRONIQUE NUMERIQUE INTRODUCTION A L ELECTRONIQUE NUMERIQUE ECHANTILLONNAGE ET QUANTIFICATION I. ARCHITECTURE DE L ELECRONIQUE NUMERIQUE Le schéma synoptique ci-dessous décrit les différentes étapes du traitement numérique

Plus en détail

- Instrumentation numérique -

- Instrumentation numérique - - Instrumentation numérique - I.Présentation du signal numérique. I.1. Définition des différents types de signaux. Signal analogique: Un signal analogique a son amplitude qui varie de façon continue au

Plus en détail

TP Modulation Démodulation BPSK

TP Modulation Démodulation BPSK I- INTRODUCTION : TP Modulation Démodulation BPSK La modulation BPSK est une modulation de phase (Phase Shift Keying = saut discret de phase) par signal numérique binaire (Binary). La phase d une porteuse

Plus en détail

TD Architecture des ordinateurs. Jean-Luc Dekeyser

TD Architecture des ordinateurs. Jean-Luc Dekeyser TD Architecture des ordinateurs Jean-Luc Dekeyser Fiche 1 Nombres de l informatique Exercice 1 Une entreprise désire réaliser la sauvegarde de ses données sur un site distant. Le volume de données à sauvegarder

Plus en détail

EPREUVE OPTIONNELLE d INFORMATIQUE CORRIGE

EPREUVE OPTIONNELLE d INFORMATIQUE CORRIGE EPREUVE OPTIONNELLE d INFORMATIQUE CORRIGE QCM Remarque : - A une question correspond au moins 1 réponse juste - Cocher la ou les bonnes réponses Barème : - Une bonne réponse = +1 - Pas de réponse = 0

Plus en détail

I- Définitions des signaux.

I- Définitions des signaux. 101011011100 010110101010 101110101101 100101010101 Du compact-disc, au DVD, en passant par l appareil photo numérique, le scanner, et télévision numérique, le numérique a fait une entrée progressive mais

Plus en détail

RESUME DE COURS ET CAHIER D'EXERCICES

RESUME DE COURS ET CAHIER D'EXERCICES ARCITECTURE INFO-UP REUME DE COUR ET CAIER D'EXERCICE EPITA F. GABON Architecture EPITA INFO-UP F. Gabon COUR LIVRE D ARCITECTURE 3 REUME D'ELECTRONIUE LOGIUE 4 YTEME DE NUMERATION 6 ALGEBRE DE BOOLE 6

Plus en détail

La conversion de données : Convertisseur Analogique Numérique (CAN) Convertisseur Numérique Analogique (CNA)

La conversion de données : Convertisseur Analogique Numérique (CAN) Convertisseur Numérique Analogique (CNA) La conversion de données : Convertisseur Analogique Numérique (CAN) Convertisseur Numérique Analogique (CNA) I. L'intérêt de la conversion de données, problèmes et définitions associés. I.1. Définitions:

Plus en détail

FONCTION COMPTAGE BINAIRE ET DIVISION DE FRÉQUENCE

FONCTION COMPTAGE BINAIRE ET DIVISION DE FRÉQUENCE I/ GÉNÉRALITÉS I.1/ Fonction Un compteur binaire est utilisé : -pour compter un certain nombre d'évènements binaires -pour diviser la fréquence d'un signal logique par 2 m Page 1 FONCTION COMPTAGE BINAIRE

Plus en détail

Symantec Backup Exec.cloud

Symantec Backup Exec.cloud Protection automatique, continue et sécurisée qui sauvegarde les données vers le cloud ou via une approche hybride combinant la sauvegarde sur site et dans le cloud. Fiche technique : Symantec.cloud Seulement

Plus en détail

Conception de circuits numériques et architecture des ordinateurs

Conception de circuits numériques et architecture des ordinateurs Conception de circuits numériques et architecture des ordinateurs Frédéric Pétrot et Sébastien Viardot Année universitaire 2011-2012 Structure du cours C1 C2 C3 C4 C5 C6 C7 C8 C9 C10 C11 C12 Codage des

Plus en détail

SYSTEME DE PALPAGE A TRANSMISSION RADIO ETUDE DU RECEPTEUR (MI16) DOSSIER DE PRESENTATION. Contenu du dossier :

SYSTEME DE PALPAGE A TRANSMISSION RADIO ETUDE DU RECEPTEUR (MI16) DOSSIER DE PRESENTATION. Contenu du dossier : SYSTEME DE PALPAGE A TRANSMISSION RADIO ETUDE DU RECEPTEUR (MI16) DOSSIER DE PRESENTATION Contenu du dossier : 1. PRESENTATION DU SYSTEME DE PALPAGE A TRANSMISSION RADIO....1 1.1. DESCRIPTION DU FABRICANT....1

Plus en détail

Les portes logiques. Voici les symboles des trois fonctions de base. Portes AND. Portes OR. Porte NOT

Les portes logiques. Voici les symboles des trois fonctions de base. Portes AND. Portes OR. Porte NOT Les portes logiques Nous avons jusqu ici utilisé des boutons poussoirs et une lampe pour illustrer le fonctionnement des opérateurs logiques. En électronique digitale, les opérations logiques sont effectuées

Plus en détail

3 ÉLÉMENTS forment un LABoratoire.

3 ÉLÉMENTS forment un LABoratoire. 3 ÉLÉMENTS forment un LABoratoire. L environnement d apprentissage COM3LAB combine l expérimentation et les avantages du e-learning interactif. La nouvelle unité centrale (console) est la jonction entre

Plus en détail

J AUVRAY Systèmes Electroniques TRANSMISSION DES SIGNAUX NUMERIQUES : SIGNAUX EN BANDE DE BASE

J AUVRAY Systèmes Electroniques TRANSMISSION DES SIGNAUX NUMERIQUES : SIGNAUX EN BANDE DE BASE RANSMISSION DES SIGNAUX NUMERIQUES : SIGNAUX EN BANDE DE BASE Un message numérique est une suite de nombres que l on considérera dans un premier temps comme indépendants.ils sont codés le plus souvent

Plus en détail

Algèbre binaire et Circuits logiques (2007-2008)

Algèbre binaire et Circuits logiques (2007-2008) Université Mohammed V Faculté des Sciences Département de Mathématiques et Informatique Filière : SMI Algèbre binaire et Circuits logiques (27-28) Prof. Abdelhakim El Imrani Plan. Algèbre de Boole 2. Circuits

Plus en détail

REALISATION D UNE CALCULATRICE GRACE AU LOGICIEL CROCODILE CLIPS 3.

REALISATION D UNE CALCULATRICE GRACE AU LOGICIEL CROCODILE CLIPS 3. 1 sur 6 REALISATION D UNE CALCULATRICE GRACE AU LOGICIEL CROCODILE CLIPS 3. OBJECTIF - PUBLIC - LOGICIEL - MATERIEL - METHODE - BIBLIOGRAPHIE - AVANTAGES - DIFFICULTES - AUTEUR DU DOCUMENT - LE DOCUMENT

Plus en détail

Expérience 3 Formats de signalisation binaire

Expérience 3 Formats de signalisation binaire Expérience 3 Formats de signalisation binaire Introduction Procédures Effectuez les commandes suivantes: >> xhost nat >> rlogin nat >> setenv DISPLAY machine:0 >> setenv MATLABPATH /gel/usr/telecom/comm_tbx

Plus en détail

Débuter avec PsoC ou PsoC niveau 0

Débuter avec PsoC ou PsoC niveau 0 Débuter avec PsoC ou PsoC niveau 0 Objectifs : se familiariser avec les circuits PsoC et leur environnement, prendre en main le logiciel et le kit d'évaluation, développer une application simple avec le

Plus en détail

Chapitre 4 : Les mémoires

Chapitre 4 : Les mémoires 1. Introduction: Chapitre 4 : Les mémoires Nous savons que dans un ordinateur toutes les informations : valeur numérique, instruction, adresse, symbole (chiffre, lettre,... etc.) sont manipulées sous une

Plus en détail

CONVERTISSEURS NA ET AN

CONVERTISSEURS NA ET AN Convertisseurs numériques analogiques (xo Convertisseurs.doc) 1 CONVTIU NA T AN NOT PLIMINAI: Tous les résultats seront exprimés sous formes littérales et encadrées avant les applications numériques. Les

Plus en détail

SYSTEME DE TELESURVEILLANCE VIDEO

SYSTEME DE TELESURVEILLANCE VIDEO SYSTEME DE TELESURVEILLANCE VIDEO Avril - Juin 98 Résumé des auteurs : Auteurs : Bertrand LASSERRE Responsables : P. KADIONIK Y. BERTHOUMIEU Nombre de pages : 68 Nombre de pages «Annexe» : 0 Remerciements

Plus en détail

A. N(p) B + C p. + D p2

A. N(p) B + C p. + D p2 Polytech Nice ELEC3 T.P. d'electronique TP N 7 S ACTIFS DU SECOND ORDRE 1 - INTRODUCTION Un quadripôle est dit avoir une fonction de transfert en tension, du second ordre, lorsque le rapport tension de

Plus en détail

Transmission d informations sur le réseau électrique

Transmission d informations sur le réseau électrique Transmission d informations sur le réseau électrique Introduction Remarques Toutes les questions en italique devront être préparées par écrit avant la séance du TP. Les préparations seront ramassées en

Plus en détail

RÉALISATION ET MISE AU POINT D UN SYSTÈME DE TÉLÉSURVEILLANCE VIDÉO

RÉALISATION ET MISE AU POINT D UN SYSTÈME DE TÉLÉSURVEILLANCE VIDÉO E.N.S.E.R.B. Romain COMBELAS Frédéric BONNIN 3ème année. Option TIC MÉMOIRE DE FIN D ÉTUDES RÉALISATION ET MISE AU POINT D UN SYSTÈME DE TÉLÉSURVEILLANCE VIDÉO Encadré par : M. Patrice KADIONIK M. Yannick

Plus en détail

Les fonctions logiques

Les fonctions logiques 1 Les fonctions logiques Le fonctionnement des ordinateurs tout comme d autres appareils électroniques repose sur l emploi des circuits électroniques de logique binaire ou électronique numérique. Dans

Plus en détail

Licence Sciences et Technologies Examen janvier 2010

Licence Sciences et Technologies Examen janvier 2010 Université de Provence Introduction à l Informatique Licence Sciences et Technologies Examen janvier 2010 Année 2009-10 Aucun document n est autorisé Les exercices peuvent être traités dans le désordre.

Plus en détail

Logique séquentielle

Logique séquentielle Bascules et logique séquentielle aniel Etiemble de@lri.fr Logique séquentielle Logique séquentielle Le système a des «états» ans un système séquentiel Éléments de mémorisation Les sorties dépendent des

Plus en détail

Système binaire. Algèbre booléenne

Système binaire. Algèbre booléenne Algèbre booléenne Système binaire Système digital qui emploie des signaux à deux valeurs uniques En général, les digits employés sont 0 et 1, qu'on appelle bits (binary digits) Avantages: on peut utiliser

Plus en détail

Université de La Rochelle. Réseaux TD n 6

Université de La Rochelle. Réseaux TD n 6 Réseaux TD n 6 Rappels : Théorème de Nyquist (ligne non bruitée) : Dmax = 2H log 2 V Théorème de Shannon (ligne bruitée) : C = H log 2 (1+ S/B) Relation entre débit binaire et rapidité de modulation :

Plus en détail

Comme chaque ligne de cache a 1024 bits. Le nombre de lignes de cache contenu dans chaque ensemble est:

Comme chaque ligne de cache a 1024 bits. Le nombre de lignes de cache contenu dans chaque ensemble est: Travaux Pratiques 3. IFT 1002/IFT 1005. Structure Interne des Ordinateurs. Département d'informatique et de génie logiciel. Université Laval. Hiver 2012. Prof : Bui Minh Duc. Tous les exercices sont indépendants.

Plus en détail

Arithmétique binaire. Chapitre. 5.1 Notions. 5.1.1 Bit. 5.1.2 Mot

Arithmétique binaire. Chapitre. 5.1 Notions. 5.1.1 Bit. 5.1.2 Mot Chapitre 5 Arithmétique binaire L es codes sont manipulés au quotidien sans qu on s en rende compte, et leur compréhension est quasi instinctive. Le seul fait de lire fait appel au codage alphabétique,

Plus en détail

BCI - TPSP - Processeurs et Architectures Numériques

BCI - TPSP - Processeurs et Architectures Numériques BCI - TPSP - Processeurs et Architectures Numériques Jean-Luc Danger Guillaume Duc Tarik Graba Philippe Matherat Yves Mathieu Lirida Naviner Alexis Polti Jean Provost c 2002-2011 groupe SEN, Télécom ParisTech

Plus en détail

xdsl Digital Suscriber Line «Utiliser la totalité de la bande passante du cuivre»

xdsl Digital Suscriber Line «Utiliser la totalité de la bande passante du cuivre» xdsl Digital Suscriber Line «Utiliser la totalité de la bande passante du cuivre» Le marché en France ~ 9 millions d abonnés fin 2005 ~ 6 millions fin 2004 dont la moitié chez l opérateur historique et

Plus en détail

Hiérarchie matériel dans le monde informatique. Architecture d ordinateur : introduction. Hiérarchie matériel dans le monde informatique

Hiérarchie matériel dans le monde informatique. Architecture d ordinateur : introduction. Hiérarchie matériel dans le monde informatique Architecture d ordinateur : introduction Dimitri Galayko Introduction à l informatique, cours 1 partie 2 Septembre 2014 Association d interrupteurs: fonctions arithmétiques élémentaires Elément «NON» Elément

Plus en détail

Systemesdigitaux. Cours 5

Systemesdigitaux. Cours 5 Systemesdigitaux Cours 5 Au derniercours On a vu des blocs classiques plus sophistiques: Additionneur Soustracteur Multiplicateur Comparateur On a vu les architectures et comment les concevoir Aujourd

Plus en détail

Etudier l influence de différents paramètres sur un phénomène physique Communiquer et argumenter en utilisant un vocabulaire scientifique adapté

Etudier l influence de différents paramètres sur un phénomène physique Communiquer et argumenter en utilisant un vocabulaire scientifique adapté Compétences travaillées : Mettre en œuvre un protocole expérimental Etudier l influence de différents paramètres sur un phénomène physique Communiquer et argumenter en utilisant un vocabulaire scientifique

Plus en détail

Introduction à l architecture des ordinateurs. Adrien Lebre Décembre 2007

Introduction à l architecture des ordinateurs. Adrien Lebre Décembre 2007 Introduction à l architecture des ordinateurs Adrien Lebre Décembre 2007 Plan - partie 1 Vue d ensemble La carte mère Le processeur La mémoire principal Notion de bus Introduction à l architecture des

Plus en détail

VIII- Circuits séquentiels. Mémoires

VIII- Circuits séquentiels. Mémoires 1 VIII- Circuits séquentiels. Mémoires Maintenant le temps va intervenir. Nous avions déjà indiqué que la traversée d une porte ne se faisait pas instantanément et qu il fallait en tenir compte, notamment

Plus en détail

T500 DUAlTACH. JAQUET T500 DualTach Instrument de mesure et de surveillance équipé de 2 entrées fréquence TACHYMETRE 2 CANAUX

T500 DUAlTACH. JAQUET T500 DualTach Instrument de mesure et de surveillance équipé de 2 entrées fréquence TACHYMETRE 2 CANAUX 02-09 T500 DUAlTACH JAQUET T500 DualTach Instrument de mesure et de surveillance équipé de 2 entrées fréquence JAQUET T500 DualTach Instrument multi canal de mesure et de surveillance pour applications

Plus en détail

Conception Systèmes numériques VHDL et synthèse automatique des circuits

Conception Systèmes numériques VHDL et synthèse automatique des circuits Année 2011-2012 Conception Systèmes numériques VHDL et synthèse automatique des circuits Travaux pratiques WIDEMACV1 LAAS-CNRS 2011 Présentation du simulateur VHDL sous environnement Cadence Présentation

Plus en détail

Electronique Numérique

Electronique Numérique Electronique Numérique 1er tome Systèmes combinatoires Etienne Messerli Yves Meyer Septembre 2010 Version 1.4 Mise à jour de ce manuel La base du présent manuel a été écrit par M. Yves Meyer de l'école

Plus en détail

Information. BASES LITTERAIRES Etre capable de répondre à une question du type «la valeur trouvée respecte t-elle le cahier des charges?

Information. BASES LITTERAIRES Etre capable de répondre à une question du type «la valeur trouvée respecte t-elle le cahier des charges? Compétences générales Avoir des piles neuves, ou récentes dans sa machine à calculer. Etre capable de retrouver instantanément une info dans sa machine. Prendre une bouteille d eau. Prendre CNI + convocation.

Plus en détail

Livret - 1. Informatique : le matériel. --- Ordinateur, circuits, codage, système, réseau. Cours informatique programmation.

Livret - 1. Informatique : le matériel. --- Ordinateur, circuits, codage, système, réseau. Cours informatique programmation. Livret - 1 Informatique : le matériel --- Ordinateur, circuits, codage, système, réseau. RM di scala Cours informatique programmation Rm di Scala - http://www.discala.net SOMMAIRE Introduction 2 Notations

Plus en détail

Transmission de données. A) Principaux éléments intervenant dans la transmission

Transmission de données. A) Principaux éléments intervenant dans la transmission Page 1 / 7 A) Principaux éléments intervenant dans la transmission A.1 Equipement voisins Ordinateur ou terminal Ordinateur ou terminal Canal de transmission ETTD ETTD ETTD : Equipement Terminal de Traitement

Plus en détail

Tout savoir sur le matériel informatique

Tout savoir sur le matériel informatique Tout savoir sur le matériel informatique Thème de l exposé : Les Processeurs Date : 05 Novembre 2010 Orateurs : Hugo VIAL-JAIME Jérémy RAMBAUD Sommaire : 1. Introduction... 3 2. Historique... 4 3. Relation

Plus en détail

On distingue deux grandes catégories de mémoires : mémoire centrale (appelée également mémoire interne)

On distingue deux grandes catégories de mémoires : mémoire centrale (appelée également mémoire interne) Mémoire - espace destiné a recevoir, conserver et restituer des informations à traiter - tout composant électronique capable de stocker temporairement des données On distingue deux grandes catégories de

Plus en détail

République Tunisienne Ministère de l'education. Sciences Techniques. Manuel d activités. Les auteurs. Ali ZITOUNI Inspecteur des lycées et collèges

République Tunisienne Ministère de l'education. Sciences Techniques. Manuel d activités. Les auteurs. Ali ZITOUNI Inspecteur des lycées et collèges République Tunisienne Ministère de l'education GÉNIE ELECTRIQUE 4 ème année de l enseignement secondaire Sciences Techniques Manuel d activités Les auteurs Ammar MZOUGHI Inspecteur Principal des lycées

Plus en détail

Architecture des ordinateurs

Architecture des ordinateurs Architecture des ordinateurs Cours 4 5 novembre 2012 Archi 1/22 Micro-architecture Archi 2/22 Intro Comment assembler les différents circuits vus dans les cours précédents pour fabriquer un processeur?

Plus en détail

Métriques de performance pour les algorithmes et programmes parallèles

Métriques de performance pour les algorithmes et programmes parallèles Métriques de performance pour les algorithmes et programmes parallèles 11 18 nov. 2002 Cette section est basée tout d abord sur la référence suivante (manuel suggéré mais non obligatoire) : R. Miller and

Plus en détail

Conception Systèmes numériques VHDL et synthèse automatique des circuits

Conception Systèmes numériques VHDL et synthèse automatique des circuits Année 2008-2009 Conception Systèmes numériques VHDL et synthèse automatique des circuits Travaux pratiques Pentium4 Présentation du simulateur VHDL sous environnement Cadence Présentation de l outil Synopsys

Plus en détail

CHAPITRE V. Théorie de l échantillonnage et de la quantification

CHAPITRE V. Théorie de l échantillonnage et de la quantification CHAPITRE V Théorie de l échantillonnage et de la quantification Olivier FRANÇAIS, SOMMAIRE I INTRODUCTION... 3 II THÉORIE DE L ÉCHANTILLONNAGE... 3 II. ACQUISITION DES SIGNAUX... 3 II. MODÉLISATION DE

Plus en détail

Telecommunication modulation numérique

Telecommunication modulation numérique Telecommunication modulation numérique Travaux Pratiques (MatLab & Simulink) EOAA Salon de Provence Stéphane BRASSET, Françoise BRIOLLE Édition 2012 Table des matières 1 Modulation/démodulation en bande

Plus en détail

Exemple d acquisition automatique de mesures sur une maquette de contrôle actif de vibrations

Exemple d acquisition automatique de mesures sur une maquette de contrôle actif de vibrations Exemple d acquisition automatique de mesures sur une maquette de contrôle actif de vibrations Valérie Pommier-Budinger Bernard Mouton - Francois Vincent ISAE Institut Supérieur de l Aéronautique et de

Plus en détail

Éléments d informatique Cours 3 La programmation structurée en langage C L instruction de contrôle if

Éléments d informatique Cours 3 La programmation structurée en langage C L instruction de contrôle if Éléments d informatique Cours 3 La programmation structurée en langage C L instruction de contrôle if Pierre Boudes 28 septembre 2011 This work is licensed under the Creative Commons Attribution-NonCommercial-ShareAlike

Plus en détail

Filtres passe-bas. On utilise les filtres passe-bas pour réduire l amplitude des composantes de fréquences supérieures à la celle de la coupure.

Filtres passe-bas. On utilise les filtres passe-bas pour réduire l amplitude des composantes de fréquences supérieures à la celle de la coupure. Filtres passe-bas Ce court document expose les principes des filtres passe-bas, leurs caractéristiques en fréquence et leurs principales topologies. Les éléments de contenu sont : Définition du filtre

Plus en détail

SIGNAUX NUMERIQUES ET MODULATIONS NUMERIQUES

SIGNAUX NUMERIQUES ET MODULATIONS NUMERIQUES SIGNAUX NUMERIQUES ET MODULATIONS NUMERIQUES ----------------------------------------------------------------------------------------------------------------- LES SIGNAUX NUMERIQUES Un signal numérique

Plus en détail

Théorie des Graphes Cours 3: Forêts et Arbres II / Modélisation

Théorie des Graphes Cours 3: Forêts et Arbres II / Modélisation IFIPS S7 - informatique Université Paris-Sud 11 1er semestre 2009/2010 Théorie des Graphes Cours 3: Forêts et Arbres II / 1 Forêts et arbres II Théorème 1.1. Les assertions suivantes sont équivalentes

Plus en détail

L3-I.S.T. Electronique I303 Travaux pratiques

L3-I.S.T. Electronique I303 Travaux pratiques Université Paris XI 2010-2011 L3-I.S.T. Electronique I303 Travaux pratiques 1 2 Séance n 1 : introduction et prise en main Résumé. L objectif de ce premier TP est de se familiariser avec les appareils

Plus en détail

Twincat PLC Temperature Controller. Régulation de Température à l aide de TwinCAT PLC.

Twincat PLC Temperature Controller. Régulation de Température à l aide de TwinCAT PLC. Twincat PLC Temperature Controller Régulation de Température à l aide de TwinCAT PLC. VERSION : 1.0 / JYL DATE : 28 Novembre 2005 1 Installation...4 2 Présentation :...4 3 Schéma fonctionnel :...5 4 Générateur

Plus en détail

ADÉQUATION ALGORITHME-ARCHITECTURE APPLIQUÉE AUX CIRCUITS RECONFIGURABLES

ADÉQUATION ALGORITHME-ARCHITECTURE APPLIQUÉE AUX CIRCUITS RECONFIGURABLES ADÉQUATION ALGORITHME-ARCHITECTURE APPLIQUÉE AUX CIRCUITS RECONFIGURABLES AILTON F. DIAS, MOHAMED AKIL, CHRISTOPHE LAVARENNE, YVES SOREL CNEN/CDTN Divisão de Computação e Informação, CP 941-012-970 Belo

Plus en détail

Chapitre 2 : communications numériques.

Chapitre 2 : communications numériques. Chapitre 2 : communications numériques. 1) généralités sur les communications numériques. A) production d'un signal numérique : transformation d'un signal analogique en une suite d'éléments binaires notés

Plus en détail

EP 2 326 026 A1 (19) (11) EP 2 326 026 A1 (12) DEMANDE DE BREVET EUROPEEN. (43) Date de publication: 25.05.2011 Bulletin 2011/21

EP 2 326 026 A1 (19) (11) EP 2 326 026 A1 (12) DEMANDE DE BREVET EUROPEEN. (43) Date de publication: 25.05.2011 Bulletin 2011/21 (19) (12) DEMANDE DE BREVET EUROPEEN (11) EP 2 326 026 A1 (43) Date de publication: 25.05.2011 Bulletin 2011/21 (51) Int Cl.: H04B 3/54 (2006.01) H04B 3/56 (2006.01) (21) Numéro de dépôt: 09176548.7 (22)

Plus en détail

2.4 Représentation graphique, tableau de Karnaugh

2.4 Représentation graphique, tableau de Karnaugh 2 Fonctions binaires 45 2.4 Représentation graphique, tableau de Karnaugh On peut définir complètement une fonction binaire en dressant son tableau de Karnaugh, table de vérité à 2 n cases pour n variables

Plus en détail

Sélection du contrôleur

Sélection du contrôleur Démo CoDeSys - 1 - 1. Configuration de l environnement de travail : Lancer le logiciel CoDeSys Fichier Nouveau Lors de la première utilisation, une boîte de dialogue apparaît permettant la sélection du

Plus en détail

EMETTEUR ULB. Architectures & circuits. Ecole ULB GDRO ESISAR - Valence 23-27/10/2006. David MARCHALAND STMicroelectronics 26/10/2006

EMETTEUR ULB. Architectures & circuits. Ecole ULB GDRO ESISAR - Valence 23-27/10/2006. David MARCHALAND STMicroelectronics 26/10/2006 EMETTEUR ULB Architectures & circuits David MARCHALAND STMicroelectronics 26/10/2006 Ecole ULB GDRO ESISAR - Valence 23-27/10/2006 Introduction Emergence des applications de type LR-WPAN : Dispositif communicant

Plus en détail

Fiche technique CPU 314SC/DPM (314-6CG13)

Fiche technique CPU 314SC/DPM (314-6CG13) Fiche technique CPU 314SC/DPM (3146CG13) Données techniques N de commande 3146CG13 Type CPU 314SC/DPM Information générale Note Caractéristiques SPEEDBus Technologie SPEED7 24 x DI, 16 x DO, 8 x DIO, 4

Plus en détail

Architecture des ordinateurs Introduction à l informatique

Architecture des ordinateurs Introduction à l informatique Architecture des ordinateurs Introduction à l informatique 17 septembre 2004 1 2 3 4 5 6 Les interrupteurs... 0V 5V Ce sont des composants électroniques qui laissent pser un courant principal lorsque la

Plus en détail

WinReporter Guide de démarrage rapide. Version 4

WinReporter Guide de démarrage rapide. Version 4 WinReporter Guide de démarrage rapide Version 4 Table des Matières 1. Bienvenue dans WinReporter... 3 1.1. Introduction... 3 1.2. Configuration minimale... 3 1.3. Installer WinReporter... 3 2. Votre premier

Plus en détail

L ABC de l acquisition de petites entreprises

L ABC de l acquisition de petites entreprises L ABC de l acquisition de petites entreprises Bienvenue Séries d acquisition de petites entreprises Comment aborder le sujet. Comment vendre aux petites entreprises. Accroître la connaissance des produits

Plus en détail

SUR MODULE CAMÉRA C38A (OV7620)

SUR MODULE CAMÉRA C38A (OV7620) Applications maquette d'étude EP10K20 DÉMULTIPLEXEUR BT.656 SUR MODULE CAMÉRA C38A OV7620 SCHÉMAS ET DESCRIPTIONS AHDL 1. Schéma principal Le démultiplexeur proprement dit est la fonction "Decod_BT656_1".

Plus en détail

Windows Server 2008. Chapitre 1: Découvrir Windows Server 2008

Windows Server 2008. Chapitre 1: Découvrir Windows Server 2008 Windows Server 2008 Chapitre 1: Découvrir Windows Server 2008 Objectives Identifier les caractéristiques de chaque édition de Windows Server 2008 Identifier les caractéristiques généraux de Windows Server

Plus en détail

//////////////////////////////////////////////////////////////////// Administration bases de données

//////////////////////////////////////////////////////////////////// Administration bases de données ////////////////////// Administration bases de données / INTRODUCTION Système d informations Un système d'information (SI) est un ensemble organisé de ressources (matériels, logiciels, personnel, données

Plus en détail

TP: Représentation des signaux binaires. 1 Simulation d un message binaire - Codage en ligne

TP: Représentation des signaux binaires. 1 Simulation d un message binaire - Codage en ligne Objectifs : Ce TP est relatif aux différentes méthodes de codage d une information binaire, et à la transmission en bande de base de cette information. Les grandes lignes de ce TP sont l étude des méthodes

Plus en détail

Catalogue - Formation en «électropneumatique et systèmes automatisés process control system»

Catalogue - Formation en «électropneumatique et systèmes automatisés process control system» entre echnologies Avancées Catalogue - en «électropneumatique et systèmes automatisés process control system» 2012-2013 Boulevard du Château 12, 7800 ATH Tél : 068/26.88.80 Fax : 068/26.88.81 E-Mail :

Plus en détail

Pré-requis installation

Pré-requis installation Pré-requis installation Version 2. TELELOGOS -, Avenue du Bois l'abbé - Angers Technopole - 9070 Beaucouzé - France Tel. + (0)2 22 70 00 - Fax. + (0)2 22 70 22 Web. www.telelogos.com - Email. support@telelogos.com

Plus en détail

La carte à puce. Jean-Philippe Babau

La carte à puce. Jean-Philippe Babau La carte à puce Jean-Philippe Babau Département Informatique INSA Lyon Certains éléments de cette présentation sont issus de documents Gemplus Research Group 1 Introduction Carte à puce de plus en plus

Plus en détail

ARDUINO DOSSIER RESSOURCE POUR LA CLASSE

ARDUINO DOSSIER RESSOURCE POUR LA CLASSE ARDUINO DOSSIER RESSOURCE POUR LA CLASSE Sommaire 1. Présentation 2. Exemple d apprentissage 3. Lexique de termes anglais 4. Reconnaître les composants 5. Rendre Arduino autonome 6. Les signaux d entrée

Plus en détail

Recherche dans un tableau

Recherche dans un tableau Chapitre 3 Recherche dans un tableau 3.1 Introduction 3.1.1 Tranche On appelle tranche de tableau, la donnée d'un tableau t et de deux indices a et b. On note cette tranche t.(a..b). Exemple 3.1 : 3 6

Plus en détail

EP 2 339 758 A1 (19) (11) EP 2 339 758 A1 (12) DEMANDE DE BREVET EUROPEEN. (43) Date de publication: 29.06.2011 Bulletin 2011/26

EP 2 339 758 A1 (19) (11) EP 2 339 758 A1 (12) DEMANDE DE BREVET EUROPEEN. (43) Date de publication: 29.06.2011 Bulletin 2011/26 (19) (12) DEMANDE DE BREVET EUROPEEN (11) EP 2 339 758 A1 (43) Date de publication: 29.06.2011 Bulletin 2011/26 (21) Numéro de dépôt: 09179459.4 (51) Int Cl.: H04B 1/69 (2011.01) H03K 5/08 (2006.01) H03K

Plus en détail

8563A. SPECTRUM ANALYZER 9 khz - 26.5 GHz ANALYSEUR DE SPECTRE

8563A. SPECTRUM ANALYZER 9 khz - 26.5 GHz ANALYSEUR DE SPECTRE 8563A SPECTRUM ANALYZER 9 khz - 26.5 GHz ANALYSEUR DE SPECTRE Agenda Vue d ensemble: Qu est ce que l analyse spectrale? Que fait-on comme mesures? Theorie de l Operation: Le hardware de l analyseur de

Plus en détail

Atelier C TIA Portal CTIA06 : programmation des automates S7-300 Blocs d organisation

Atelier C TIA Portal CTIA06 : programmation des automates S7-300 Blocs d organisation Atelier C TIA Portal CTIA06 : programmation des automates S7-300 Blocs d organisation CTIA06 Page 1 1. Types de blocs de programme L automate met à disposition différents types de blocs qui contiennent

Plus en détail

ASR1 TD7 : Un microprocesseur RISC 16 bits

ASR1 TD7 : Un microprocesseur RISC 16 bits {Â Ö Ñ º ØÖ Ý,È ØÖ ºÄÓ Ù,Æ ÓÐ ºÎ ÝÖ Ø¹ ÖÚ ÐÐÓÒ} Ò ¹ÐÝÓÒº Ö ØØÔ»»Ô Ö Óº Ò ¹ÐÝÓÒº Ö» Ö Ñ º ØÖ Ý»¼ Ö½» ASR1 TD7 : Un microprocesseur RISC 16 bits 13, 20 et 27 novembre 2006 Présentation générale On choisit

Plus en détail

1. Structure d un programme C. 2. Commentaire: /*..texte */ On utilise aussi le commentaire du C++ qui est valable pour C: 3.

1. Structure d un programme C. 2. Commentaire: /*..texte */ On utilise aussi le commentaire du C++ qui est valable pour C: 3. 1. Structure d un programme C Un programme est un ensemble de fonctions. La fonction "main" constitue le point d entrée pour l exécution. Un exemple simple : #include int main() { printf ( this

Plus en détail

FAG Detector III la solution pour la surveillance et l équilibrage. Information Technique Produit

FAG Detector III la solution pour la surveillance et l équilibrage. Information Technique Produit FAG Detector III la solution pour la surveillance et l équilibrage Information Technique Produit Principe Utilisation Hautes performances utilisation simple Le FAG Detector III est, à la fois, un appareil

Plus en détail

Cours de Génie Logiciel

Cours de Génie Logiciel Cours de Génie Logiciel Sciences-U Lyon Diagrammes UML (2) http://www.rzo.free.fr Pierre PARREND 1 Avril 2005 Sommaire Les Diagrammes UML Diagrammes de Collaboration Diagrammes d'etats-transitions Diagrammes

Plus en détail

ISO/CEI 11172-3 NORME INTERNATIONALE

ISO/CEI 11172-3 NORME INTERNATIONALE NORME INTERNATIONALE ISO/CEI 11172-3 Première édition 1993-08-01 Technologies de l information - Codage de l image animée et du son associé pour les supports de stockage numérique jusqu à environ Ii5 Mbit/s

Plus en détail