Tables de fonctionnement des différentes bascules : Bascule C. Bascule A. Bascule B. Equations logiques des différentes entrées : Q B Q A Q C Q Q

Dimension: px
Commencer à balayer dès la page:

Download "Tables de fonctionnement des différentes bascules : Bascule C. Bascule A. Bascule B. Equations logiques des différentes entrées : Q B Q A Q C Q Q"

Transcription

1 Laboratoire génie électrique Stech Série d exercices N compteurs Page / Exercice : En utilisant des bascules de type KH, réaliser un décompteur synchrone modulo Table de décomptage : Etat n Etat n N deh C B A C B A 5 Tables de fonctionnement des différentes bascules : B A B A B A C C C Bascule A Bascule B Bascule C Equations logiques des différentes entrées : B A B A B A C C C A = B = C = C B A C B A C B A K A = K B = K C = Schéma logique : H K A H K B H K C

2 Laboratoire génie électrique Stech Série d exercices N compteurs Page / Exercice : Réaliser un compteur synchrone avec des bascules D à front montant dont les entrées asynchrones S (Set) et R (Reset) sont activées au niveau bas qui effectue le cycle suivant : 5 Prévoir une entrée d initialisation à «asynchrone» par un bouton poussoir INIT. Table de comptage : Table de transition Etat n Etat n de la bascule D N deh C B A C B A D ε δ μ 5 μ Tables de fonctionnement des différentes bascules : B A B A B A C C C Bascule A Bascule B Bascule C Equations logiques des différentes entrées : B A B A B A C C C D A = D B = D C = Schéma logique : D H A D H B D H C

3 Laboratoire génie électrique Stech Série d exercices N compteurs Page / Exercice Soit le circuit suivant : uel est le mode de fonctionnement de chaque bascule?. uel est le mode de fonctionnement du circuit?.. Déterminer les équations des différentes bascules et compléter le tableau d analyse suivant (initialement A = B = C = ) puis déduire le cycle réalisé par le circuit : A = Vcc U:A KA = HC U:A U:B U:A B = KB = U:A(CLK) INITI CLK K S A R 5 CLK K S B R CLK K S C R 5 C = KC = Vcc R k Horloge C B A C = KC = C = KC = C = KC = C = KC = C = KC = B = KB = B = KB = B = KB = B = KB = B = KB = A = KA = A = KA = A = KA = A = KA = A = KA = Cycle réalisé: -...

4 Laboratoire génie électrique Stech Série d exercices N compteurs Page / FICHES TECHNIUES DE UELUES CIRCUITS INTEGRES Compteur binaire asynchrone bits - R() R() R = R() R() R = DIV A DIV B C D DIV A DIV 5 B C D Boîtier DIL V CC 5 GND Boîtier DIL V CC GND Le fonctionnement de ces deux compteurs est identique seul le brochage est différent Le Schéma interne du compteur est comme suit A B C D R() R() N.B Les entrées et K sont à l état logique une fois que le circuit est alimenté Compteur binaire asynchrone bits R() R() R = DIV A DIV B Z C DIV D Le Schéma interne du compteur est comme suit A B C D R() R() N.B Les entrées et K sont à l état logique une fois que le circuit est alimenté

5 Laboratoire génie électrique Stech Série d exercices N compteurs Page 5 / Compteur asynchrone bits - Le fonctionnement de ces deux compteurs est identique seul le brochage est différent R() R() R = R() R() R = R() R() Z R() R() Z DIV A = DIV 5 B = C D Boîtier DIL V CC 5 GND DIV A = = DIV 5 Boîtier DIL V CC GND 5 B C D Le Schéma interne du compteur est comme suit A B C D Preset S Preset R Clear R() R() R() R() N.B Les entrées et K sont à l état logique une fois que le circuit est alimenté Tables de fonctionnement communes aux deux compteurs - Pour Comptage BCD,relier la sortie A à l entrée Sortie D C B A 5 Compte Pour Comptage biquinaire (5-) relier la sortie D à l entrée Sortie A D C B 5 Compte Table de fonctionnement des «Resets» Entrées Reset Sorties R () R () R () R () D C B A X X X X X X Compte X X Compte X X Compte X X Compte N.B X : uelque soit le niveau logique ou

6 Laboratoire génie électrique Stech Série d exercices N compteurs Page / Compteur décompteur BCD synchrone LOAD EN () G R DIV D/U (5) ()MAX/MIN M [DOWN] (=)Z M [UP] (=)Z CLK (),- /, () RCO G,, LOAD () C5 E N T R E E S A B C D CLK D / U A B C D (5) 5D () () () [] [] [] [] - () () A B () C () D S O R T I E S EN A B C D MAX/MIN RCO Compte Bloqué Décompte LOAD Compteur décompteur binaire synchrone bits avec horloges et RAZ Entrée de chargement Mot binaire à charger

7 Laboratoire génie électrique Stech Série d exercices N compteurs Page / Compteur décompteur BCD 5 CP R DIV 5 MR = U/D M CE 5 G PL C CP 5 C, / C, TC CE U/D MR PL P P D () P P () P P () P P () TC 5 5 Compteur décompteur binaire synchrone bits Programmable C agit sur D et permet de faire un chargement sur front d horloge lorsque M est active. Compteur prépositionnable ALS (chargement synchrone) Remarque : Le fonctionnement de la remise à zéro peut être aussi quelque fois synchrone.

8 Laboratoire génie électrique Stech Série d exercices N compteurs Page /,, LSA, LSA, S DECADE COUNTERS Typical clear, preset, count, and inhibit sequences Illustrated below is the following sequence: () Clear outputs to zero ( and LSA are asynchronous;, LSA and S are synchronous) () Preset to BCD seven () Count to eight, nine, zero, one, tow, and three () Inhibit CLR () LOAD () ENT () ENP () CLK () LSA RDIV = M = M G G C5/,, (5) RCO A () B () C (5) D (),5D [] [] [] [] () A () B () C () D CLR () LOAD () ENT () ENP () CLK () LSA, S RDIV 5 = M = M G G C5/,, (5) RCO A () B () C (5) D (),5D [] [] [] [] () A () B () C () D LSA CLR () LOAD () ENT () ENP () CLK () RDIV = M = 5 M G G C5/,, (5) RCO A () B () C (5) D (),5D [] [] [] [] () A () B () C () D CLR () LOAD () ENT () ENP () CLK () LSA, S RDIV 5 = M = 5 M G G C5/,, (5) RCO () Clear outputs to zero () Reset to binary twelve () Count to thirteen, fourteen, fifteen, zero, one and two () Inhibit A () B () C (5) D (),5D [] [] [] [] () A () B () C () D

9 Laboratoire génie électrique Stech Série d exercices N compteurs Page / Fiche technique du compteur X : Etat indifférent H : logique L : logique BIN : Mode de comptage binaire DEC : Mode de comptage décimal

10 Laboratoire génie électrique Stech Série d exercices N compteurs Page / Exercice (Compteurs asynchrones en Circuits intégré) (voir dossier technique du CI ) Compléter les deux schémas de câblage afin de réaliser un compteur modulo en indiquant chaque fois le bit de faible poids par (LSB) et le bit de fort poids par (MSB). a - ère solution : Le signal d horloge est appliqué à l entrée CLKA: R() R() R = DIV A DIV B C D b - ème solution : Le signal d horloge est appliqué à l entrée CLKB: R() R() R = DIV A DIV B C D Exercice 5 (Compteurs asynchrones en Circuits intégré) (voir dossier technique du CI ) ) uel est le comptage réalisé par ce circuit. R() R() R() R() R = Z DIV A = DIV 5 B C = D

11 Laboratoire génie électrique Stech Série d exercices N compteurs Page / ) Réaliser un compteur modulo avec le CI R() R() R() R() R = Z DIV A = DIV 5 B C = D ) Soit le circuit suivant réalisé avec le CI compléter les chronogrammes H R() R() R() R() R = Z A B t t DIV A = DIV 5 B C = D C D t t t ) uel est le comptage réalisé par ce circuit : H R() R() R() R() R = Z DIV A = DIV 5 B C = D R() R() R() R() R = Z DIV A = DIV 5 B C = D 5) Donner le schéma d un compteur qui réalise avec le CI «B est le L.S.B, A est le M.S.B» R() R() R() R() R = Z DIV A = DIV 5 B C = D

12 Laboratoire génie électrique Stech Série d exercices N compteurs Page / Exercice (Circuit intégré ) - Compléter le schéma structurel ci-dessous pour avoir un compteur modulo, prévoir un bouton Init Donner l équation de CLR en fonction des sorties des compteurs et le bouton Init CLR =. Vers décodeur Vers décodeur CLR R = CLR R = 5 DIV A DIV A Vcc Init DIV5 B C D Dizaine DIV5 Unité 5 B C D R (Horloge) Exercice En utilisant le circuit intégré 5(voir dossier technique) réaliser un compteur modulo.prévoir un bouton d initialisation. «état initial». MR U/D CE 5 PL C 5 R DIV = M G C C, / C, TC P P P P D () () () () Exercice En utilisant le circuit intégré 5(voir dossier technique) réaliser un décompteur modulo. Prévoir un bouton d initialisation à MR U/D CE PL CP 5 5 R DIV 5 = M G C C, / C, TC P P P P D () () () ()

13 Laboratoire génie électrique Stech Série d exercices N compteurs Page / Exercice En utilisant C.I. 5 réaliser un compteur qui compte de à. Prévoir un bouton d initialisation à (cascade synchrone) puis cascade asynchrone. MR U/D CE 5 PL CP 5 R DIV 5 = M G C C, / C, TC MR U/D CE 5 PL CP 5 R DIV 5 = M G C C, / C, TC Cascade synchrone P D () P D () P () P () P () P () P () P () Unité Dizaine MR U/D CE 5 PL CP 5 R DIV 5 = M G C C, / C, TC MR U/D CE 5 PL CP 5 R DIV 5 = M G C C, / C, TC Cascade asynchrone P D () P D () P () P () P () P () P () P () Exercice Unité Dizaine a- Réaliser un décompteur modulo avec le CI (Voir dossier technique). Prévoir une entrée d initialisation à.

14 Laboratoire génie électrique Stech Série d exercices N compteurs Page / Unité b- Réaliser un compteur qui compte de à avec les CI.Prévoir une entrée d initialisation à (cascade asynchrone) Circuit I Circuit II c- Réaliser un décompteur modulo avec les CI.Prévoir une entrée d initialisation.(cascade asynchrone) Circuit I Circuit II Exercice : Compléter le schéma de câblage d un compteur modulo en utilisant circuits (voir dossier technique) montés en cascade asynchrone et des portes NAND. Prévoir un bouton «Raz». EN D/U CLK LOAD G R DIV MAX/MIN M [DOWN] (=)Z M [UP] (=)Z,- /, G,, RCO C5 EN D/U CLK LOAD G R DIV MAX/MIN M [DOWN] (=)Z M [UP] (=)Z,- /, G,, RCO C5 A B C D 5D [] [] [] [] A B C D A B C D 5D [] [] [] [] A B C D Circuit I Circuit II

15 Laboratoire génie électrique Stech Série d exercices N compteurs Page 5 / Exercice : En se basant sur la notice technique du CI et le circuit, compléter le tableau suivant : ) Entrée CLR Entrée LOAD Vraie au niveau bas ou haut Asynchrone ou synchrone Vraie au niveau bas ou haut Asynchrone ou synchrone ) Réaliser un compteur modulo avec le circuit intégré proposer deux méthodes ére méthode LSA CLR () LOAD () ENT () ENP () CLK () RDIV = M = 5 M G G C5/,, (5) RCO A () B () C (5) D (),5D [] [] [] [] () A () B () C () D éme méthode CLR () LOAD () ENT () ENP () CLK () LSA RDIV = M = 5 M G G C5/,, (5) RCO A () B () C (5) D (),5D [] [] [] [] () A () B () C () D

16 Laboratoire génie électrique Stech Série d exercices N compteurs Page / Exercice : En se référant au dossier technique du Circuit intégré, ) Compléter le circuit de câblage pour avoir un compteur binaire modulo de cycle : Avec un bouton d initialisation 5 5 R DIV / V CC Init R () () () () ) Compléter le circuit suivant pour avoir un décompteur binaire de cycle avec un bouton d initialisation R DIV / 5 5 V CC Init R () () () () Exercice : Le circuit suivant est réalisé avec les CI 5 et le CI (voir dossier technique). uel est le comptage réalisé par les circuits U et U? Comptage réalisé par U.. Comptage réalisé par U.. U(CLK) VCC U A A A A CLK CI U/D PE MR 5 U A A A A CLK CI U/D PE MR 5 CO CO U A A A A B B B B C S S S S C 5

17 Laboratoire génie électrique Stech Série d exercices N compteurs Page / Exercice 5: En se référant au dossier technique du CI et pour D C B A = Compléter les chronogrammes suivants : Clk EN D / U LOAD A B C D Valeur du compteur en décimal N () RCO

FONCTION COMPTAGE BINAIRE ET DIVISION DE FRÉQUENCE

FONCTION COMPTAGE BINAIRE ET DIVISION DE FRÉQUENCE I/ GÉNÉRALITÉS I.1/ Fonction Un compteur binaire est utilisé : -pour compter un certain nombre d'évènements binaires -pour diviser la fréquence d'un signal logique par 2 m Page 1 FONCTION COMPTAGE BINAIRE

Plus en détail

Manipulations du laboratoire

Manipulations du laboratoire Manipulations du laboratoire 1 Matériel Les manipulations de ce laboratoire sont réalisées sur une carte électronique comprenant un compteur 4-bit asynchrone (74LS93) avec possibilité de déclenchement

Plus en détail

VIII- Circuits séquentiels. Mémoires

VIII- Circuits séquentiels. Mémoires 1 VIII- Circuits séquentiels. Mémoires Maintenant le temps va intervenir. Nous avions déjà indiqué que la traversée d une porte ne se faisait pas instantanément et qu il fallait en tenir compte, notamment

Plus en détail

IFT1215 Introduction aux systèmes informatiques

IFT1215 Introduction aux systèmes informatiques Introduction aux circuits logiques de base IFT25 Architecture en couches Niveau 5 Niveau 4 Niveau 3 Niveau 2 Niveau Niveau Couche des langages d application Traduction (compilateur) Couche du langage d

Plus en détail

Bien commencer avec un LaunchPad MSP430G et un Breadboard

Bien commencer avec un LaunchPad MSP430G et un Breadboard Bien commencer avec un LaunchPad MSP430G et un Breadboard Pierre-Yves Rochat Le LaunchPad et Energia Texas Instrument propose un environnement de développement très complet pour ses MSP430, appelé Code

Plus en détail

SUR MODULE CAMÉRA C38A (OV7620)

SUR MODULE CAMÉRA C38A (OV7620) Applications maquette d'étude EP10K20 DÉMULTIPLEXEUR BT.656 SUR MODULE CAMÉRA C38A OV7620 SCHÉMAS ET DESCRIPTIONS AHDL 1. Schéma principal Le démultiplexeur proprement dit est la fonction "Decod_BT656_1".

Plus en détail

Les fonctions logiques

Les fonctions logiques 1 Les fonctions logiques Le fonctionnement des ordinateurs tout comme d autres appareils électroniques repose sur l emploi des circuits électroniques de logique binaire ou électronique numérique. Dans

Plus en détail

GPA770 Microélectronique appliquée Exercices série A

GPA770 Microélectronique appliquée Exercices série A GPA770 Microélectronique appliquée Exercices série A 1. Effectuez les calculs suivants sur des nombres binaires en complément à avec une représentation de 8 bits. Est-ce qu il y a débordement en complément

Plus en détail

I- Définitions des signaux.

I- Définitions des signaux. 101011011100 010110101010 101110101101 100101010101 Du compact-disc, au DVD, en passant par l appareil photo numérique, le scanner, et télévision numérique, le numérique a fait une entrée progressive mais

Plus en détail

CONVERTISSEURS NA ET AN

CONVERTISSEURS NA ET AN Convertisseurs numériques analogiques (xo Convertisseurs.doc) 1 CONVTIU NA T AN NOT PLIMINAI: Tous les résultats seront exprimés sous formes littérales et encadrées avant les applications numériques. Les

Plus en détail

TD Architecture des ordinateurs. Jean-Luc Dekeyser

TD Architecture des ordinateurs. Jean-Luc Dekeyser TD Architecture des ordinateurs Jean-Luc Dekeyser Fiche 1 Nombres de l informatique Exercice 1 Une entreprise désire réaliser la sauvegarde de ses données sur un site distant. Le volume de données à sauvegarder

Plus en détail

ProCod. Manuel d utilisation. Software de programmation pour codeurs absolus TWK modèles CRF et DAF CRF 11069 DF 08 / 10

ProCod. Manuel d utilisation. Software de programmation pour codeurs absolus TWK modèles CRF et DAF CRF 11069 DF 08 / 10 Software de programmation pour codeurs absolus TWK modèles CRF et DAF CRF 11069 DF 08 / 10 Manuel d utilisation ProCod TWK France 13-15, avenue de Stalingrad 93170 BAGNOLET T. 01 43 62 00 05 F. 01 43 63

Plus en détail

Notice d'utilisation Afficheur multifonctions et système d'évaluation FX 360. Mode/Enter

Notice d'utilisation Afficheur multifonctions et système d'évaluation FX 360. Mode/Enter Notice d'utilisation Afficheur multifonctions et système d'évaluation FR FX 360 7390275 / 08 07 / 2009 Mode/Enter Set Consignes de sécurité Cette notice fait partie de l'appareil. Elle fournit des textes

Plus en détail

Architecture des ordinateurs TD1 - Portes logiques et premiers circuits

Architecture des ordinateurs TD1 - Portes logiques et premiers circuits Architecture des ordinateurs TD1 - Portes logiques et premiers circuits 1 Rappel : un peu de logique Exercice 1.1 Remplir la table de vérité suivante : a b a + b ab a + b ab a b 0 0 0 1 1 0 1 1 Exercice

Plus en détail

Centrales de mesures. CENTRALES DE MESURES Nemo. A.6 Guide de choix. A.14 4 Modules. A.20 Encastré 72x72. A.24 Encastré 96x96. A.

Centrales de mesures. CENTRALES DE MESURES Nemo. A.6 Guide de choix. A.14 4 Modules. A.20 Encastré 72x72. A.24 Encastré 96x96. A. I N S T R U M E N T S D E M E S U R E CENTRLES DE MESURES Nemo Centrales de mesures Nemo Les centrales de mesures composant la gamme IMESYS permettent la surveillance, le contrôle et la gestion de toute

Plus en détail

Conversion d un entier. Méthode par soustraction

Conversion d un entier. Méthode par soustraction Conversion entre bases Pour passer d un nombre en base b à un nombre en base 10, on utilise l écriture polynomiale décrite précédemment. Pour passer d un nombre en base 10 à un nombre en base b, on peut

Plus en détail

ELP 304 : Électronique Numérique. Cours 1 Introduction

ELP 304 : Électronique Numérique. Cours 1 Introduction ELP 304 : Électronique Numérique Cours 1 Introduction Catherine Douillard Dépt Électronique Les systèmes numériques : généralités (I) En électronique numérique, le codage des informations utilise deux

Plus en détail

QUESTION 1 {2 points}

QUESTION 1 {2 points} ELE4301 Systèmes logiques II Page 1 de 8 QUESTION 1 {2 points} En se servant de paramètres électriques donnés dans le Tableau 1 ci-dessous, on désire déterminer la fréquence d opération du compteur présenté

Plus en détail

Le multiplexage. Sommaire

Le multiplexage. Sommaire Sommaire Table des matières 1- GENERALITES... 2 1-1 Introduction... 2 1-2 Multiplexage... 4 1-3 Transmission numérique... 5 2- LA NUMERATION HEXADECIMALE Base 16... 8 3- ARCHITECTURE ET PROTOCOLE DES RESEAUX...

Plus en détail

CONFIGURATION ET UTILISATION

CONFIGURATION ET UTILISATION COMPARATEUR DE CODE GRAY POUR CODEURS A SORTIES PARALLELES CONFIGURATION ET UTILISATION CCN165 LOREME 12, rue des Potiers d'etain Actipole BORNY - B.P. 35014-57071 METZ CEDEX 3 Téléphone 03.87.76.32.51

Plus en détail

Modules d automatismes simples

Modules d automatismes simples Modules d automatismes simples Solutions pour automatiser Modules d'automatismes Enfin, vraiment simple! Un concentré de solution Pour vos petites applications d'automatismes millenium gère : Temporisations

Plus en détail

MICROCONTROLEURS PIC PROGRAMMATION EN C. V. Chollet - cours-pic-13b - 09/12/2012 Page 1 sur 44

MICROCONTROLEURS PIC PROGRAMMATION EN C. V. Chollet - cours-pic-13b - 09/12/2012 Page 1 sur 44 MICROCONTROLEURS PIC PROGRAMMATION EN C V. Chollet - cours-pic-13b - 09/12/2012 Page 1 sur 44 Chapitre 1 GENERALITES 1 DEFINITION Un microcontrôleur est un microprocesseur RISC (Reduced Instruction Set

Plus en détail

CONFIGURATION DE L AUTOMATE SIEMENS

CONFIGURATION DE L AUTOMATE SIEMENS CONFIGURATION DE L AUTOMATE SIEMENS Créer un projet Dans le bureau de Windows, double-cliquer sur l icône «SIMATIC Manager» : Cliquer ensuite sur l icône «nouveau» : Choisir un nom de projet et valider

Plus en détail

Lecteur de carte à puce LCPM1 SOMMAIRE

Lecteur de carte à puce LCPM1 SOMMAIRE SOMMAIRE I Différents types de cartes p2 1.1- Carte magnétique 1.2- Carte II Les cartes s. p3 2.1- Introduction 2.2- Constitution III Les familles de cartes s. p6 3.1- Les cartes à mémoire simple 3.2-

Plus en détail

SIN-FPGA DESCRIPTION PAR SCHEMA

SIN-FPGA DESCRIPTION PAR SCHEMA SIN-FPGA DESCRIPTION PAR SCHEMA Documents ressources: http://www.altera.com/literature/lit-index.html Introduction to Quartus II : intro_to_quartus2.pdf Documentation QUARTUS II : quartusii_handbook.pdf

Plus en détail

2-2. Dimensions externes 113±0.2 P P R±??? 3. FONCTIONS ET TERMINOLOGIE. Sortie prédéfinie. Sortie arrêt du vérin. Type de sortie prédéfinie

2-2. Dimensions externes 113±0.2 P P R±??? 3. FONCTIONS ET TERMINOLOGIE. Sortie prédéfinie. Sortie arrêt du vérin. Type de sortie prédéfinie CEU5-TFJFR-A. Séparation des câbles de signal du câble d alimentation électrique Evitez un câblage commun ou parallèle du signal et des câbles d alimentation afin d éviter un dysfonctionnement en raison

Plus en détail

Sciences et Technologies de l Industrie et du Développement Durable ENERGIE THERMIQUE ENERGIE THERMIQUE

Sciences et Technologies de l Industrie et du Développement Durable ENERGIE THERMIQUE ENERGIE THERMIQUE Sciences et Technologies de l Industrie et du Développement Durable ENERGIE THERMIQUE 1 ère STI2D CI5 : Efficacité énergétique active TP1 EE ENERGIE THERMIQUE INSTRUCTIONS PERMANENTES DE SÉCURITÉ 1. Avant

Plus en détail

NPIH800 GENERATION & RESEAUX. PROTECTION de COURANT TERRE

NPIH800 GENERATION & RESEAUX. PROTECTION de COURANT TERRE GENERATION & RESEAUX PROTECTION de COURANT TERRE NPIH800 assure la protection à maximum de courant terre des réseaux électriques de moyenne et haute tension. Ce relais multifonction surveille les défauts

Plus en détail

Les liaisons SPI et I2C

Les liaisons SPI et I2C DAMÉCOURT BENJAMIN AVRIL 28 Liaisons synchrones Les liaisons SPI et I2C Face arrière d un imac : trois ports USB, un port Firewire 4 et un port Firewire 8 CHRONOLOGIE ANNÉES 7 La liaison SPI et la création

Plus en détail

Codage d information. Codage d information : -Définition-

Codage d information. Codage d information : -Définition- Introduction Plan Systèmes de numération et Représentation des nombres Systèmes de numération Système de numération décimale Représentation dans une base b Représentation binaire, Octale et Hexadécimale

Plus en détail

Représentation d un entier en base b

Représentation d un entier en base b Représentation d un entier en base b 13 octobre 2012 1 Prérequis Les bases de la programmation en langage sont supposées avoir été travaillées L écriture en base b d un entier est ainsi défini à partir

Plus en détail

Transmissions série et parallèle

Transmissions série et parallèle 1. Introduction : Un signal numérique transmet généralement plusieurs digits binaires. Exemple : 01000001 ( huit bits). Dans une transmission numérique on peut envisager deux modes : les envoyer tous en

Plus en détail

Proteus Design Suite V7 Instruments virtuels

Proteus Design Suite V7 Instruments virtuels Proteus Design Suite V7 Instruments virtuels Le modèle d oscilloscope virtuel...2 Généralités...2 Utilisation de l oscilloscope...2 Le modèle d analyseur logique...5 Généralités...5 Utilisation de l analyseur

Plus en détail

ARDUINO DOSSIER RESSOURCE POUR LA CLASSE

ARDUINO DOSSIER RESSOURCE POUR LA CLASSE ARDUINO DOSSIER RESSOURCE POUR LA CLASSE Sommaire 1. Présentation 2. Exemple d apprentissage 3. Lexique de termes anglais 4. Reconnaître les composants 5. Rendre Arduino autonome 6. Les signaux d entrée

Plus en détail

! analyse du fonctionnement

! analyse du fonctionnement Coloreau chaude MT V P1 V MT! Le composant repéré TH1 sur le schéma structurel et une thermistance. Son rôle est de détecter une grandeur physique la température, et de la convertir en une grandeur électrique

Plus en détail

Atelier C TIA Portal CTIA04 : Programmation des automates S7-300 Opérations numériques

Atelier C TIA Portal CTIA04 : Programmation des automates S7-300 Opérations numériques Atelier C TIA Portal CTIA04 : Programmation des automates S7-300 Opérations numériques CTIA04 Page 1 1. Les types de données sous S7 300 Il existe plusieurs types de données utilisées pour la programmation

Plus en détail

Le Millenium 3 pour les nuls!! Phase 2 : Les blocs fonction

Le Millenium 3 pour les nuls!! Phase 2 : Les blocs fonction Le Millenium 3 pour les nuls!! Phase 2 : Les blocs fonction Document rédigé par Pascal Bigot pour le site et le forum APPER Introduction : Le premier tutoriel vous a normalement permis de prendre en main

Plus en détail

NOTICE SIMPLIFIEE ER-A280F. I Initialisation avec Remise à Zéro de la caisse : ENTER PASSWORD ER-A280V. Ver1.02

NOTICE SIMPLIFIEE ER-A280F. I Initialisation avec Remise à Zéro de la caisse : ENTER PASSWORD ER-A280V. Ver1.02 NOTICE SIMPLIFIEE ER-A280F I Initialisation avec Remise à Zéro de la caisse : A faire absolument au déballage de la caisse avant de commencer à programmer. Cette étape ne nécessite pas la mise en place

Plus en détail

Notice ARES Version 5.20 Française

Notice ARES Version 5.20 Française ARES -1/19 Notice ARES Version 5.20 Française Carlos Valente Technicien IUT LIMOGES Département Génie Electrique et informatique Industrielle 19100 Brive la gaillarde France. Page - 1/19 ARES -2/19 Routage

Plus en détail

Conception Systèmes numériques VHDL et synthèse automatique des circuits

Conception Systèmes numériques VHDL et synthèse automatique des circuits Année 2011-2012 Conception Systèmes numériques VHDL et synthèse automatique des circuits Travaux pratiques WIDEMACV1 LAAS-CNRS 2011 Présentation du simulateur VHDL sous environnement Cadence Présentation

Plus en détail

Utilisation du logiciel ImageJ gratuit

Utilisation du logiciel ImageJ gratuit Utilisation du logiciel ImageJ gratuit on peut récupérer sur le lien suivant : http://rsbweb.nih.gov/ij/ à partir duquel ce résumé très bref (!!) a été élaboré Lancer ImageJ Vous avez une fenêtre qui s'ouvre

Plus en détail

Fiche technique CPU 314SC/DPM (314-6CG13)

Fiche technique CPU 314SC/DPM (314-6CG13) Fiche technique CPU 314SC/DPM (3146CG13) Données techniques N de commande 3146CG13 Type CPU 314SC/DPM Information générale Note Caractéristiques SPEEDBus Technologie SPEED7 24 x DI, 16 x DO, 8 x DIO, 4

Plus en détail

Concevoir son microprocesseur

Concevoir son microprocesseur Concevoir son microprocesseur structure des systèmes logiques Jean-Christophe Buisson Collection Technosup Ellipses Avant-propos Ce livre s adresse aux étudiants en informatique de licence et maîtrise,

Plus en détail

ÉTUDE D UN SYSTÈME ET/OU D UN PROCESSUS INDUSTRIEL OU TECHNIQUE : ÉLÉMENTS DE CORRIGÉ. Partie A : alimentation HTA

ÉTUDE D UN SYSTÈME ET/OU D UN PROCESSUS INDUSTRIEL OU TECHNIQUE : ÉLÉMENTS DE CORRIGÉ. Partie A : alimentation HTA ÉTUDE D UN SYSTÈME ET/OU D UN PROCESSUS INDUSTRIEL OU TECHNIUE : ÉLÉMENTS DE CORRIGÉ A1-Étude de l alimentation EDF Partie A : alimentation HTA A1-1 Comment dénomme t-on l alimentation HTA d EDF? Donner

Plus en détail

Architecture de la Gestion du Technique du Bâtiment.

Architecture de la Gestion du Technique du Bâtiment. Architecture de la Gestion du Technique du Bâtiment. Bus de communication EIB KNX 1 A. Synoptique générale de la GTB EIB KNX 2 3 B. Configuration matériel KNX de la G.T.B. Pack n 1 - Armoire GTB KNX équipée

Plus en détail

Système binaire. Algèbre booléenne

Système binaire. Algèbre booléenne Algèbre booléenne Système binaire Système digital qui emploie des signaux à deux valeurs uniques En général, les digits employés sont 0 et 1, qu'on appelle bits (binary digits) Avantages: on peut utiliser

Plus en détail

Série D65/D75/D72 Afficheurs digitaux modulaires

Série D65/D75/D72 Afficheurs digitaux modulaires Série D65/D75/D72 Afficheurs digitaux modulaires Afficheurs digitaux modulaires Afficheurs digitaux individuels La série D65/D75/D72 représente une vaste gamme de modules d affichage numériques, hexadécimaux

Plus en détail

ACS-30-EU-PCM2-x-32A Régulation et surveillance du traçage électrique des bâtiments commerciaux et résidentiels pour divers domaines d application

ACS-30-EU-PCM2-x-32A Régulation et surveillance du traçage électrique des bâtiments commerciaux et résidentiels pour divers domaines d application ACS-0-EU-PCM-x-A Régulation et surveillance du traçage électrique des bâtiments commerciaux et résidentiels pour divers domaines d application Module de régulation et de distribution de l alimentation

Plus en détail

MANUEL D UTILISATION TERMINAL PHL 2700

MANUEL D UTILISATION TERMINAL PHL 2700 MANUEL D UTILISATION TERMINAL PHL 2700 XL Soft - 16 Bd Charles de Gaulle Parc d'affaires les Moulinets- Bât C 44800 SAINT HERBLAIN Tel.: 02 51 80 77 88 Fax: 02 51 80 77 87 www.xlsoft.fr SOMMAIRE 1) Présentation

Plus en détail

Notice d installation de la Centrale VIGIK DGM1

Notice d installation de la Centrale VIGIK DGM1 Notice d installation de la Centrale VIGIK DGM1 Version 3.5 NOTICE DE MONTAGE ET D UTILISATION N homologation : PS 200306-01 MS 200306-02 Informations préliminaires Présentation des possibilités de la

Plus en détail

DU BINAIRE AU MICROPROCESSEUR - D ANGELIS CIRCUITS CONFIGURABLES NOTION DE PROGRAMMATION

DU BINAIRE AU MICROPROCESSEUR - D ANGELIS CIRCUITS CONFIGURABLES NOTION DE PROGRAMMATION 145 NOTION DE PROGRAMMATION 1/ Complétons notre microprocesseur Nous avons, dans les leçons précédentes décrit un microprocesseur théorique, cependant il s inspire du 6800, premier microprocesseur conçu

Plus en détail

Acquisition et conditionnement de l information Les capteurs

Acquisition et conditionnement de l information Les capteurs Acquisition et conditionnement de l information Les capteurs COURS 1. Exemple d une chaîne d acquisition d une information L'acquisition de la grandeur physique est réalisée par un capteur qui traduit

Plus en détail

VMT Mod : Ventilation modulée pour le tertiaire

VMT Mod : Ventilation modulée pour le tertiaire Notice de Montage VMT Mod : Ventilation modulée pour le tertiaire VC 100876 - D920_a - RCS 956 506 828 - Imprimé en France/Printed in France VMT Mod est un système de ventilation intelligent à destination

Plus en détail

Les portes logiques. Voici les symboles des trois fonctions de base. Portes AND. Portes OR. Porte NOT

Les portes logiques. Voici les symboles des trois fonctions de base. Portes AND. Portes OR. Porte NOT Les portes logiques Nous avons jusqu ici utilisé des boutons poussoirs et une lampe pour illustrer le fonctionnement des opérateurs logiques. En électronique digitale, les opérations logiques sont effectuées

Plus en détail

Manuel d aide à la pose

Manuel d aide à la pose Manuel d aide à la pose Téléphones d Urgence de la série DICTIS MICOME Téléphones d urgence Téléalarmes Pont de Peille 06340 Drap France Tel : (33) 4 93 91 16 17 Fax : (33) 4 93 91 26 27 e-mail : micome@micome.com

Plus en détail

Indicateur de position PI-D2100 Guide de l utilisateur

Indicateur de position PI-D2100 Guide de l utilisateur Indicateur de position PI-D2100 Guide de l utilisateur Notre distributeur Automatisation JRT Inc. 405, avenue Galilée Québec (Québec) Canada G1P 4M6 Téléphone : (418) 871-6016 Sans frais : 1-877-871-6016

Plus en détail

CENTRAL TELEPHONIQUE ANALOGIQUE 3 LIGNES 12 POSTES autocommutateur téléphone SELECTEUR FAX TELEPHONE. Ref 3L12PF = VIP312

CENTRAL TELEPHONIQUE ANALOGIQUE 3 LIGNES 12 POSTES autocommutateur téléphone SELECTEUR FAX TELEPHONE. Ref 3L12PF = VIP312 CENTRAL TELEPHONIQUE ANALOGIQUE 3 LIGNES 12 POSTES autocommutateur téléphone SELECTEUR FAX TELEPHONE Sommaire Caractéristiques de l appareil Installation A lire attentivement avant installation Allumage

Plus en détail

CODAGE DES SMS. 2 Commandes «AT» 25 3 Matériels utilisés 55 4 Interfacer un téléphone GSM 73 5 Réalisations électroniques 101

CODAGE DES SMS. 2 Commandes «AT» 25 3 Matériels utilisés 55 4 Interfacer un téléphone GSM 73 5 Réalisations électroniques 101 1 CODAGE DES SMS PAGE 1.1 Introduction 6 1.2 Généralités 6 1.3 Mode PDU 6 1.4 Codage/décodage par logiciel 21 2 Commandes «AT» 25 3 Matériels utilisés 55 4 Interfacer un téléphone GSM 73 5 Réalisations

Plus en détail

TP: Représentation des signaux binaires. 1 Simulation d un message binaire - Codage en ligne

TP: Représentation des signaux binaires. 1 Simulation d un message binaire - Codage en ligne Objectifs : Ce TP est relatif aux différentes méthodes de codage d une information binaire, et à la transmission en bande de base de cette information. Les grandes lignes de ce TP sont l étude des méthodes

Plus en détail

Conception et réalisation d'une pédale MIDI

Conception et réalisation d'une pédale MIDI Conception et réalisation d'une pédale MIDI Origine et historique du projet: Plusieurs dizaines de lycéens de Porto-Vecchio adhèrent au club musique du foyer socio-éducatif et se retrouvent à l'heure du

Plus en détail

Université de La Rochelle. Réseaux TD n 6

Université de La Rochelle. Réseaux TD n 6 Réseaux TD n 6 Rappels : Théorème de Nyquist (ligne non bruitée) : Dmax = 2H log 2 V Théorème de Shannon (ligne bruitée) : C = H log 2 (1+ S/B) Relation entre débit binaire et rapidité de modulation :

Plus en détail

Chapitre 4 : Les mémoires

Chapitre 4 : Les mémoires 1. Introduction: Chapitre 4 : Les mémoires Nous savons que dans un ordinateur toutes les informations : valeur numérique, instruction, adresse, symbole (chiffre, lettre,... etc.) sont manipulées sous une

Plus en détail

1. PRESENTATION DU PROJET

1. PRESENTATION DU PROJET Bac STI2D Formation des enseignants Jean-François LIEBAUT Denis PENARD SIN 63 : Prototypage d un traitement de l information analogique et numérique (PSoC) 1. PRESENTATION DU PROJET Les systèmes d éclairage

Plus en détail

Logique séquentielle

Logique séquentielle Bascules et logique séquentielle aniel Etiemble de@lri.fr Logique séquentielle Logique séquentielle Le système a des «états» ans un système séquentiel Éléments de mémorisation Les sorties dépendent des

Plus en détail

Recueil d'exercices de logique séquentielle

Recueil d'exercices de logique séquentielle Recueil d'exercices de logique séquenielle Les bascules: / : Bascule JK Bascule D. Expliquez commen on peu modifier une bascule JK pour obenir une bascule D. 2/ Eude d un circui D Q Q Sorie A l aide d

Plus en détail

Logique binaire. Aujourd'hui, l'algèbre de Boole trouve de nombreuses applications en informatique et dans la conception des circuits électroniques.

Logique binaire. Aujourd'hui, l'algèbre de Boole trouve de nombreuses applications en informatique et dans la conception des circuits électroniques. Logique binaire I. L'algèbre de Boole L'algèbre de Boole est la partie des mathématiques, de la logique et de l'électronique qui s'intéresse aux opérations et aux fonctions sur les variables logiques.

Plus en détail

Fiche technique CPU 315SN/PN (315-4PN33)

Fiche technique CPU 315SN/PN (315-4PN33) Fiche technique CPU 315SN/PN (315-4PN33) Données techniques N de commande 315-4PN33 Information générale Note - Caractéristiques SPEED-Bus - Données techniques de l'alimentation Alimentation (valeur nominale)

Plus en détail

Informatique Générale

Informatique Générale Informatique Générale Guillaume Hutzler Laboratoire IBISC (Informatique Biologie Intégrative et Systèmes Complexes) guillaume.hutzler@ibisc.univ-evry.fr Cours Dokeos 625 http://www.ens.univ-evry.fr/modx/dokeos.html

Plus en détail

TP Modulation Démodulation BPSK

TP Modulation Démodulation BPSK I- INTRODUCTION : TP Modulation Démodulation BPSK La modulation BPSK est une modulation de phase (Phase Shift Keying = saut discret de phase) par signal numérique binaire (Binary). La phase d une porteuse

Plus en détail

SYSTEME DE TELESURVEILLANCE VIDEO

SYSTEME DE TELESURVEILLANCE VIDEO SYSTEME DE TELESURVEILLANCE VIDEO Avril - Juin 98 Résumé des auteurs : Auteurs : Bertrand LASSERRE Responsables : P. KADIONIK Y. BERTHOUMIEU Nombre de pages : 68 Nombre de pages «Annexe» : 0 Remerciements

Plus en détail

MEMOIRES MAGNETIQUES A DISQUES RIGIDES

MEMOIRES MAGNETIQUES A DISQUES RIGIDES MEMOIRES MAGNETIQUES A DISQUES RIGIDES PARTIE ELECTRONIQUE Le schéma complet de FP5 est donnée en annexe. Les questions porterons sur la fonction FP5 dont le schéma fonctionnel de degré 2 est présenté

Plus en détail

Auto formation à Zelio logic

Auto formation à Zelio logic Auto formation à Zelio logic 1 Les Produits Félicitations, vous avez choisi l'un des produits Zelio 2 suivants : 2 Environnement Le Zelio Logic est programmable à l'aide du logiciel Zelio Soft ou en Saisie

Plus en détail

EPREUVE OPTIONNELLE d INFORMATIQUE CORRIGE

EPREUVE OPTIONNELLE d INFORMATIQUE CORRIGE EPREUVE OPTIONNELLE d INFORMATIQUE CORRIGE QCM Remarque : - A une question correspond au moins 1 réponse juste - Cocher la ou les bonnes réponses Barème : - Une bonne réponse = +1 - Pas de réponse = 0

Plus en détail

TABLE DES MATIÈRES 1. DÉMARRER ISIS 2 2. SAISIE D UN SCHÉMA 3 & ' " ( ) '*+ ", ##) # " -. /0 " 1 2 " 3. SIMULATION 7 " - 4.

TABLE DES MATIÈRES 1. DÉMARRER ISIS 2 2. SAISIE D UN SCHÉMA 3 & '  ( ) '*+ , ##) #  -. /0  1 2  3. SIMULATION 7  - 4. TABLE DES MATIÈRES 1. DÉMARRER ISIS 2 2. SAISIE D UN SCHÉMA 3! " #$ % & ' " ( ) '*+ ", ##) # " -. /0 " 1 2 " 3' & 3. SIMULATION 7 0 ( 0, - 0 - " - & 1 4. LA SOURIS 11 5. LES RACCOURCIS CLAVIER 11 STI Electronique

Plus en détail

Conception Systèmes numériques VHDL et synthèse automatique des circuits

Conception Systèmes numériques VHDL et synthèse automatique des circuits Année 2008-2009 Conception Systèmes numériques VHDL et synthèse automatique des circuits Travaux pratiques Pentium4 Présentation du simulateur VHDL sous environnement Cadence Présentation de l outil Synopsys

Plus en détail

Alcatel OmniPCX Enterprise TSC-IP V1 (4098RE)

Alcatel OmniPCX Enterprise TSC-IP V1 (4098RE) Alcatel OmniPCX Enterprise TSC-IP V1 (4098RE) NOTE : Les spécifications Produit contenues dans ce document peuvent évoluer sans information préalable. Les produits et services décrits dans ce document

Plus en détail

AMICUS 18 (2ème partie) 4) Présentation du logiciel Amicus IDE

AMICUS 18 (2ème partie) 4) Présentation du logiciel Amicus IDE AMICUS 18 (2ème partie) Dans la première partie, nous avions présenté la platine Amicus 18 et nous avions réalisé quelques montages simples. Nous allons découvrir un peu mieux la programmation. Dans la

Plus en détail

Electronique Numérique

Electronique Numérique Electronique Numérique 1er tome Systèmes combinatoires Etienne Messerli Yves Meyer Septembre 2010 Version 1.4 Mise à jour de ce manuel La base du présent manuel a été écrit par M. Yves Meyer de l'école

Plus en détail

Encoder 1.60. Encoder 1 sur 15. Codification fil par étage 15 étages max. + 2 flèches + signal de mouvement. Raccordements 0.1 mm²...

Encoder 1.60. Encoder 1 sur 15. Codification fil par étage 15 étages max. + 2 flèches + signal de mouvement. Raccordements 0.1 mm²... Displays ACCESSOIRES AFFICHEURS Encoder Encoder 1 sur 1 Le dispositif Encoder est nécessaire pour une codification 1 fil par étage avec des afficheurs conçus pour code binaire. Le dispositif Encoder convertit

Plus en détail

RESUME DE COURS ET CAHIER D'EXERCICES

RESUME DE COURS ET CAHIER D'EXERCICES ARCITECTURE INFO-UP REUME DE COUR ET CAIER D'EXERCICE EPITA F. GABON Architecture EPITA INFO-UP F. Gabon COUR LIVRE D ARCITECTURE 3 REUME D'ELECTRONIUE LOGIUE 4 YTEME DE NUMERATION 6 ALGEBRE DE BOOLE 6

Plus en détail

TP - Alarme de voiture / Approche fonctionnelle

TP - Alarme de voiture / Approche fonctionnelle TP - Alarme de voiture / Approche fonctionnelle Tous les objets techniques, même les plus compliqués, sont étudiés à l aide d une méthode appelée : étude fonctionnelle ou systémique. 1/ Présentation du

Plus en détail

AGREGATION DE LIENS ENTRE UNE APPLIANCE FAST360 ET UN COMMUTATEUR. Table des matières PRINCIPES DE L'AGREGATION DE LIENS... 2

AGREGATION DE LIENS ENTRE UNE APPLIANCE FAST360 ET UN COMMUTATEUR. Table des matières PRINCIPES DE L'AGREGATION DE LIENS... 2 AGREGATION DE LIENS ENTRE UNE APPLIANCE FAST360 ET UN COMMUTATEUR Table des matières PRINCIPES DE L'AGREGATION DE LIENS... 2 Définition... 2 Le Bonding Round Robin... 2 EtherChannel de Cisco... 2 Trunk

Plus en détail

UNIVERSITY OF MALTA FACULTY OF ARTS. French as Main Area in an ordinary Bachelor s Degree

UNIVERSITY OF MALTA FACULTY OF ARTS. French as Main Area in an ordinary Bachelor s Degree French Programme of Studies (for courses commencing October 2009 and later) YEAR ONE (2009/10) Year (These units start in and continue in.) FRE1001 Linguistique théorique 1 4 credits Non Compensatable

Plus en détail

NON URGENTE TEMPORAIRE DEFINITIVE. OBJET : INSTALLATION ET EXPLOITATION DU LOGICIEL OmniPCX Enterprise PC INSTALLER V3.4 SOMMAIRE

NON URGENTE TEMPORAIRE DEFINITIVE. OBJET : INSTALLATION ET EXPLOITATION DU LOGICIEL OmniPCX Enterprise PC INSTALLER V3.4 SOMMAIRE COMMUNICATION TECHNIQUE N TC1708 Ed. 01 OmniPCX Enterprise Nb de pages : 20 Date : 17-09-2012 URGENTE NON URGENTE TEMPORAIRE DEFINITIVE OBJET : INSTALLATION ET EXPLOITATION DU SOMMAIRE 1. INTRODUCTION...

Plus en détail

Relais d'arrêt d'urgence, protecteurs mobiles

Relais d'arrêt d'urgence, protecteurs mobiles Gertebild ][Bildunterschrift Bloc logique de sécurité pour la surveillance de boutons-poussoirs de arrêt d'urgence et de protecteurs mobiles Homologations Caractéristiques des appareils Gertemerkmale Sorties

Plus en détail

La norme Midi et JavaSound

La norme Midi et JavaSound La norme Midi et JavaSound V 1.0-14.2.2006 (update Fev. 07) Jacques Ferber LIRMM - Université Montpellier II 161 rue Ada 34292 Montpellier Cedex 5 Email: ferber@lirmm.fr Home page: www.lirmm.fr/~ferber

Plus en détail

Algèbre binaire et Circuits logiques (2007-2008)

Algèbre binaire et Circuits logiques (2007-2008) Université Mohammed V Faculté des Sciences Département de Mathématiques et Informatique Filière : SMI Algèbre binaire et Circuits logiques (27-28) Prof. Abdelhakim El Imrani Plan. Algèbre de Boole 2. Circuits

Plus en détail

Conception de circuits numériques et architecture des ordinateurs

Conception de circuits numériques et architecture des ordinateurs Conception de circuits numériques et architecture des ordinateurs Frédéric Pétrot Année universitaire 2014-2015 Structure du cours C1 C2 C3 C4 C5 C6 C7 C8 C9 C10 C11 Codage des nombres en base 2, logique

Plus en détail

CENTRALE D ALARME SANS FILS

CENTRALE D ALARME SANS FILS CENTRALE D ALARME SANS FILS Cher client, Nous vous remercions d avoir fait l acquisition de notre appareil, avant de commencer son installation veuillez lire le mode d emploi joint et prenez note de ce

Plus en détail

Esterel The french touch star touch esterel advance

Esterel The french touch star touch esterel advance Accès Tables des Matières Siège Social : Technoparc EPSILON RD 100 83700 SAINT RAPHAEL Tél. (33) 04 98 11 45 90 Fax (33) 04 98 11 45 70 E. mail France : info@cashsystemes.com Web : www.cashsystemes.com

Plus en détail

MANUEL D INSTRUCTION

MANUEL D INSTRUCTION MANUEL D INSTRUCTION ---------- Régulateur de Charge Solaire pour deux batteries, Pour Caravanes, Camping-Cars & Bateaux Courant (12V or 12/24V automatique) NOTES: Utilisable seulement avec des panneaux

Plus en détail

T500 DUAlTACH. JAQUET T500 DualTach Instrument de mesure et de surveillance équipé de 2 entrées fréquence TACHYMETRE 2 CANAUX

T500 DUAlTACH. JAQUET T500 DualTach Instrument de mesure et de surveillance équipé de 2 entrées fréquence TACHYMETRE 2 CANAUX 02-09 T500 DUAlTACH JAQUET T500 DualTach Instrument de mesure et de surveillance équipé de 2 entrées fréquence JAQUET T500 DualTach Instrument multi canal de mesure et de surveillance pour applications

Plus en détail

NOTE TECHNIQUE RELATIVE A L INGENIERIE DES NRO DU RESEAU THD SEINE

NOTE TECHNIQUE RELATIVE A L INGENIERIE DES NRO DU RESEAU THD SEINE NOTE TECHNIQUE RELATIVE A L INGENIERIE DES NRO DU RESEAU THD SEINE Document Confidentiel Note technique relative à l ingénierie des NRO V1 1 / 12 Cette note décrit l ingénierie des NRO (Nœuds de Raccordement

Plus en détail

COACH-II Manuel d utilisation

COACH-II Manuel d utilisation COACH-II Manuel d utilisation MA-COACH-II_FR Page 1 on 25 Rev: 29/03/2011 1. INTRODUCTION...3 2. VUE GENERALE...4 3. DIMENSIONS MECANIQUES...5 4. CARACTERISTIQUES TECHNIQUES...6 4.1. Générales...6 4.1.1.

Plus en détail

DM 1 : Montre Autoquartz ETA

DM 1 : Montre Autoquartz ETA Lycée Masséna DM 1 : Montre Autoquartz ETA 1 Présentation de la montre L essor de l électronique nomade s accompagne d un besoin accru de sources d énergies miniaturisées. Les contraintes imposées à ces

Plus en détail

Expérience 3 Formats de signalisation binaire

Expérience 3 Formats de signalisation binaire Expérience 3 Formats de signalisation binaire Introduction Procédures Effectuez les commandes suivantes: >> xhost nat >> rlogin nat >> setenv DISPLAY machine:0 >> setenv MATLABPATH /gel/usr/telecom/comm_tbx

Plus en détail

AMBUS IS Collecteur d impulsions M-Bus

AMBUS IS Collecteur d impulsions M-Bus AMBUS IS Collecteur d impulsions M-Bus Application Collecteur d impulsions pour la connexion d un compteur à émetteur d impulsions à des systèmes M-Bus ou pour la transmission de signaux à des systèmes

Plus en détail

Introduction à l architecture des ordinateurs. Adrien Lebre Décembre 2007

Introduction à l architecture des ordinateurs. Adrien Lebre Décembre 2007 Introduction à l architecture des ordinateurs Adrien Lebre Décembre 2007 Plan - partie 1 Vue d ensemble La carte mère Le processeur La mémoire principal Notion de bus Introduction à l architecture des

Plus en détail

Master d'informatique 1ère année Réseaux et protocoles. Couche physique

Master d'informatique 1ère année Réseaux et protocoles. Couche physique Master d'informatique 1ère année Réseaux et protocoles Couche physique Bureau S3-354 Mailto:Jean.Saquet@unicaen.fr http://saquet.users.greyc.fr/m1/rezopro Supports de communication Quelques exemples :

Plus en détail