Dès l origine, les FPGA, tels que

Dimension: px
Commencer à balayer dès la page:

Download "Dès l origine, les FPGA, tels que"

Transcription

1 CIRCUITS LOGIUES PROGRAMMABLES Bien concevoir avec un FPGA Réussir une application à base de FPGA, c est respecter un certain nombre de principes comme une bonne connaissance de la topologie du réseau logique programmable et l adoption d une méthodologie synchrone. Par Edgard Garcia, MV Edgard Garcia a créé la société MV, spécialisée dans le traitement d images et référence française en matière de FPGA Xilinx. epuis de nombreuses années, MV conçoit des FPGA en langage VHL pour tous types d applications, y compris le prototypage d Asic. lement pour concevoir simplement et rapidement, mais aussi pour réussir leurs conceptions. La démonstration de cette méthode de Trois modes de FIGURE 2 configuration des LUT En a, un générateur de fonctions combinatoires. En b, configuration en mémoire 16 bits à écriture synchrone. En c, configuration en registre à décalage de longueur programmable. Éléments mémoire initialisés à la configuration F 1 ès l origine, les FPGA, tels que Xilinx les a inventés, avaient la réputation de mettre à disposition de l utilisateur une conception rapide, fiable et simple. Si cette réputation est totalement justifiée, les progrès technologiques ont permis, depuis, d accéder à des matrices logiques programmables de plusieurs millions de portes. Cette complexité actuelle reste absolument gérable et permet la réalisation d applications très performantes moyennant une bonne connaissance des ressources offertes et le respect d une méthodologie de conception. Malheureusement, certains concepteurs Architecture simplifiée d un «slice» Un bloc de logique combinatoire est constitué de deux ou quatre «slices». LUT LUT Carry Carry PRE CLR PRE CLR FIGURE 1 débutants, voyant dans la souplesse du programmable une possibilité infinie de corrections d erreurs, dédaignent toute méthode et connaissent des échecs. Ces déboires, relevant de leur entière responsabilité, les amènent parfois à déclarer à tort que l utilisation de certains FPGA haut de gamme est difficile. L objet de cet article est de montrer que les adjectifs «simple» ou «difficile» sont hors de propos pour ce type de conception. C est la réussite du projet qui est facile à obtenir si l on suit avec sérieux et méthode un certain nombre d étapes. La haute densité des matrices de Xilinx n est pas un obstacle car un jeu d outils de développement accompagne efficacement l utilisateur. Les quatre règles de base sont: - bien connaître les caractéristiques du FPGA ciblé pour assurer son adéquation avec les besoins du projet; - respecter une méthodologie de conception et un style d écriture; - opter pour des outils de synthèse de qualité; - maîtriser les outils d implantation. Autrement dit, il faut bien comprendre les possibilités offertes par le réseau logique programmable choisi ainsi que les moyens à mettre en œuvre pour en tirer le meilleur profit. En nous appuyant sur différentes architectures de FPGA, nous allons décrypter les principales possibilités mises à la disposition des utilisateurs et les points qu ils doivent absolument connaître et maîtriser, pas seu- F 2 F 3 F 4 IN Adr/ F ou G WR_EN IN Adr 4 a) EGCO Enable EL b) EL c) OUT OUT OUT 2 Extrait du n 125 d Electronique - Mai 2002

2 conception sera faite sur des FPGA Xilinx. Les familles proposées sont Spartan-II, Spartan- IIE, Virtex-E et Virtex-II. Bien connaître son sujet Les qualités et l organisation générale des matrices de ces familles sont décrites dans l encadré ci-dessous. Nous y voyons qu un des modules de base est le bloc logique configurable (ou CLB), lui-même constitué de «slices». La figure 1 illustre l architecture simplifiée d un slice. La logique combinatoire est implantée grâce aux LUT (look-up tables) contenues dans chaque slice. Ces LUT peuvent également être configurées comme éléments de mémoire synchrone, simple ou double-port de 16 bits, ou encore comme registres à décalage de 16 bits. Il existe donc trois modes de configuration de ces LUT. Plus précisément, le fonctionnement en mode combinatoire est obtenu en lisant le contenu pointé par les signaux d entrée (figure 2a). Autrement dit, les LUT sont des mémoires dont le contenu est initialisé lors de la configuration du FPGA. e ce fait, elles permettent à l utilisateur d en disposer en mode «élément mémoire» dans chacun des slices si nécessaire (figure2b). La figure 2c décrit le mode de configuration particulier Performances et densités à la carte Les différentes architectures de FPGA Xilinx sont Spartan-II, Spartan-IIE, Virtex-E et Virtex-II. Leurs caractéristiques principales sont: - Complexités allant de à plus de 8 millions de portes. - Faible consommation. Organisation des FPGA de Xilinx - Grande souplesse d utilisation des entrées-sorties avec adaptation d impédance (Virtex-II) et configuration en mode différentiel (Spartan-IIE, Virtex-E et Virtex-II). - Fonctions mémoire (distribuée et blocs de Ram). - ispositifs de gestion des FIGURE Les matrices de Xilinx comportent essentiellement des blocs logiques configurables. Banque 0 Banque 1 Blocs SelectRam simple et double port Blocs d entrée/sortie (IOB) répartis en 8 banques Banque 2 Blocs de logique configurables Banque 3 ispositifs de gestion des horloges et buffers spécialisés horloges (LL et CM). - Multiplieurs câblés (Virtex-II). Et bien d autres possibilités permettant d optimiser à la fois la performance et la densité des fonctions logiques et/ou arithmétiques. Comme le montre la figure ciaprès sur l organisation générale des FPGA Xilinx, l essentiel des fonctions logiques sera implanté en CLB (blocs de logique configurable), eux-mêmes constitués de «slices». Un «slice» comprend deux bascules, de la logique combinatoire et arithmétique et/ou des fonctions de mémoire distribuée ou de registre à décalage. Suivant les familles, un CLB peut être constitué de deux ou quatre slices, c est-àdire qu il peut comprendre jusqu à huit bascules, et, par exemple, 128 bits de mémoire ou des fonctions arithmétiques et/ou logiques. Configuration de «slices» FIGURE 3 pour fonctions arithmétiques Une logique supplémentaire (Fast Carry) permet l implantation de fonctions de type accumulateur chargeables en addition/soustraction. A_SUB 1 slice 1 slice A15 B15 A3 B3 A2 B2 A1 B1 A0 B0 en registre à décalage de longueur programmable jusqu à 16 bits. Par ailleurs, une logique supplémentaire utile pour la réalisation de fonctions arithmétiques est disponible dans chaque slice. Grâce à ces éléments, et au style d écriture adapté, des modules de type accumulateur chargeable en addition/soustraction pourront être implantés à raison de 2 bits par slice. Le niveau de performance est alors prédictible et il pourra dépasser les 200MHz pour des opérateurs 32 bits. A noter que l appel à ces éléments de logique arithmétique, comme la propagation rapide de la retenue (figure 3), implique l utilisation de ressources de routage dédiées. En effet, afin de préserver la performance de telles fonctions, la propagation de la retenue s effectue du bas vers le haut via des connexions directes entre slices adjacents. Ainsi, les LSB sont vers le bas de la matrice et les MSB sont vers le haut, ceci par rapport à la vue du FPGA Xilinx dans les outils graphiques que sont le «Floorplanner» et le «FPGA Editor». Les bascules dans chaque slice ont aussi des caractéristiques importantes pour le concepteur. En particulier, elles sont initialisées systématiquement à la mise sous tension (par défaut à la valeur 0 ), et sont utilisables indépendamment de la logique combinatoire disponible dans le même slice. En outre, chaque bascule bénéficie de broches de contrôle telles que : entrée dédiée de validation de l horloge (Clock enable) permettant d activer ou de suspendre le fonctionnement de chacune des bascules individuellement, et ceci sans avoir à insérer de la logique combinatoire sur le chemin de l horloge ; entrées de «set» et de «reset» synchrones ou asynchrones. La polarité des signaux d horloge, de Clock enable, de set et de reset est programmable pour chacune des bascules. Autrement dit, ces signaux peuvent être individuellement actifs au niveau haut ou au niveau bas. Les outils de synthèse appropriés permettront de tirer profit de ces détails d architecture à partir du code source VHL (ou Verilog). Maîtriser la propagation des signaux Une attention particulière doit être apportée à la distribution des horloges, élément crucial dans tout projet d électronique numérique. La plupart des FPGA, et notamment ceux de Xilinx, disposent de ressources (buffers et routage associé) qui permettent une Validation horloge Extrait du n 125 d Electronique - Mai

3 Ressources de routage pour les horloges FIGURE 4 En a, les buffers d horloge et les ressources de routage associées. En b, des dispositifs de gestion des horloges permettent d adapter la fréquence d horloge. En c, diagramme simplifié d un dispositif de gestion de la phase et de la fréquence de l horloge. IPA (dédié) Arbre d horloge disposant de connexions directes vers toutes les bascules et autres éléments séquenciels élai de distribution de l horloge = 0 ns (compensé par l asservissement de la LL) CLKFB CLK2X élai de distribution RST CLKV de l horloge LOE (lié à la taille du FPGA) a) b) CLKIN élais élémentaires (40 à 60 ps) CLKLL I O I O CLKIN CLK0 CLK90 I CLK180 (dédié) CLK270 c) Comparateur de phase séquentiel CLK0 CLKFB LOE Arbre d horloge Arbre d horloge de même qu une réduction des temps de placement/routage. La consommation sera également réduite car les charges capacitives inhérentes au routage seront minimales. Cependant, pour garantir un routage efficace, rien de tel que de suivre quelques règles simples pour définir le brochage du FPGA. En effet, un bon positionnement des blocs d entrées/sorties généralement décidé avant l implantation sur le FPGA pour paralléliser la réalisation des lignes de cuivre donne au logiciel toutes facilités pour utiliser les ressources de routage optimales pour chacun des signaux. En outre, si la méthode d implantation des fonctions arithmétiques et assimilées, telles que compteurs, comparateurs, etc., est correctement respectée (position verticale avec LSB vers le bas, MSB vers le haut du FPGA), les bus principaux d entrées/sorties suivront la même loi de positionnement. Les entrées/sorties restantes seront placées de manière intuitive en tenant compte des connexions entre les divers modules. Si la figure 5 présente ces principes de positionnement pour les entrées/sorties, précisons les caractéristiques de ces blocs d E/S. La règle est toujours la même : plus vous connaîtrez les possibilités offertes, meilleures seront les performances. L échange de données avec la circuiterie externe (microprocesseur, SP, répartition d horloge parfaite, c est-à-dire sans dérive (skew) ; un point particulièrement important pour les conceptions synchrones. Le déroulement des événements est le suivant: les outils de synthèse détectent automatiquement les sources d horloge, et infèrent les buffers spécialisés et les ressources de routage associées (figure 4a). A la charge du concepteur de choisir une matrice offrant un nombre de tampons d horloge suffisant pour son application. Les dispositifs de gestion des horloges permettent l implantation aisée et efficace de fonctions comme la multiplication et la division de la fréquence, l ajustement statique ou dynamique de la phase ou la synthèse de fréquence. Ces deux dernières caractéristiques sont propres aux FPGA Virtex-II. Grâce à cette technologie entièrement numérique, le concepteur peut faire appel à toutes ces fonctions de gestion d horloge, sans avoir à se soucier des filtres de boucles et autres critères habituellement rencontrés avec les technologies analogiques (figure 4b et 4c). La gestion de la phase et de la fréquence d horloge s effectue en utilisant un grand nombre de lignes à retard (50ps environ), ce qui permet de garantir une gigue ne dépassant pas 100ps dans le pire des cas. ans les FPGA Xilinx, les ressources de routage sont fortement structurées. Elles sont constituées de plusieurs types d interconnexions (directes, générales ) et de longues lignes de métal horizontales et verticales. Ces dernières vont permettre le routage efficace de certains types de signaux. Pour résumer, nous pourrions dire que le flot de données principal doit circuler en horizontal (par rapport à la vue graphique de la matrice dans les outils Xilinx), et que les signaux de contrôle doivent être distribués en vertical pour optimiser le routage. Cette optimisation engendrera une meilleure performance, Les règles de positionnement des E/S MSB Bus de données LSB Entrées/sorties auxiliaires et signaux de contrôle Propagation des données Signaux de contrôle Entrées/sorties auxiliaires et signaux de contrôle FIGURE 5 Pour obtenir des performances optimales, il est important de respecter les lois d implantation des bus principaux et des entrées/sorties. MSB Bus de données LSB 4 Extrait du n 125 d Electronique - Mai 2002

4 mémoires rapides, convertisseurs A/N et N/A) est souvent un critère important dans la perspective des performances à atteindre. Les blocs d entrées/sorties disposent de bascules sur les chemins d entrée, de sortie et de contrôle troisétats. Les outils de synthèse les plus sophistiqués permettent l utilisation systématique (lorsque cela s applique) de ces bascules, garantissant ainsi les temps d établissement et de «clock-to-out» puisqu ils deviennent indépendants du placement et donc du routage. Enfin, les FPGA de la famille Virtex-II comprennent des registres doubles sur chacun de leurs trois chemins, autorisant ainsi la réalisation d interfaces R (ouble ata Rate) pour la communication avec les mémoires Sram du même nom ou autres dispositifs du même type (figure 6). La configuration électrique des blocs d E/S donne la possibilité d ajuster la raideur des fronts sur les étages de sortie, la sortance, les seuils de commutation et les standards de communication (LVTTL, LVCmos, SSTL, PCI, LVS ). Par ailleurs, la famille Virtex-II offre d adapter en impédance aussi bien les entrées que les sorties, sans avoir à insérer les traditionnelles résistances d adaptation. Résultat: un gain de place important sur les circuits imprimés et une grande souplesse. Savoir tirer un bon parti des blocs de Ram Les familles Spartan-II, Spartan-IIE et Virtex- E possèdent des blocs de mémoire (Ram double-port) à écriture et lecture synchrones de 4Kbits. Leur nombre va de 4 blocs pour la matrice 2S15, à 96 blocs pour le FPGA V1000E. e son côté, la série Virtex-II dispose de blocs de Ram d une capacité de 18Kbits chacun. Ils sont entre 4 et 200 suivant le FPGA. Chacun de ces blocs de 18Kbits est «accompagné» d un multiplieur 18x18 bits signés. Chaque bloc est configurable individuellement et ceci sur chacun des deux ports A et B. Pour les capacités de 4Kbits, les dispositions possibles sont: 4Kx1, 2Kx2, 1Kx4, 512x8 et 256x16. Ceux de la série Virtex-II acceptent: 16Kx1, 8Kx2, 4Kx4, 2Kx9, 1Kx18 et 512x36. Outre l efficacité d implantation de fonctions mémoires et/ou Fifo, cette architecture permet également la conversion automatique et instantanée de la largeur des bus entrants et sortants. Ainsi, il est possible par exemple de recevoir (et d envoyer) un flot de données série à 180MHz sur le port A, alors que les données seront exploitées à une fréquence moyenne de 5MHz et 36 bits sur le Configuration des blocs d E/S TRI TRI_ENABLE OUT OUT_ENABLE IN IN_ CLK IN_ENABLE Multiplexeurs statiques (sélection définie pendant la configuration) OBUF IBUF FIGURE 6 Les blocs d entrées/sorties (IOB) comportent des bascules sur les chemins d entrée, de sortie et de contrôle trois-états, bascules utilisées, si nécessaire, par les outils de synthèse. PA port B, sans que le concepteur ait à se soucier de la mise en parallèle ou de la sérialisation. Enfin, dans certains cas, la souplesse de la configuration et des signaux de contrôle de ces blocs Ram autorise l implantation de fonctions logiques complexes avec une efficacité surprenante. Pour plus d informations, se référer aux articles sur l implantation de machines d états en blocs Ram et sur un convolueur bidimensionnel en matrice 9 x 9 fonctionnant à 200 MHz (Electronique n 103, p.69 et n 119, p.58, et sur le site uelques pièges de l asynchronisme a) b) A B C Méthodologie de développement: vous avez dit synchrone Il est important de rappeler quelques notions de base sur la méthodologie à adopter dans le cadre de conception d électronique numérique. La citation suivante donne une idée assez précise des ennuis encourus si une certaine méthodologie de conception n est pas prise en compte: «Les développements asynchrones peuvent ruiner votre projet, votre carrière professionnelle et même votre santé. Refusez simplement de concevoir en asynchrone» Les conceptions asynchrones présentent facilement des défauts de fonctionnement aléatoires. Certaines d entre elles manifesteront des problèmes de fonctionnement en température, d autres liés au vieillissement du composant ou autres paramètres externes. La figure 7a montre un des montages source d asynchronismes et donc de fonctionnement aléatoire communément appelé «Gated clock». ans cet exemple, l horloge est générée à partir d une fonction combinatoire de plusieurs signaux. La sortie de cette fonction présentera des parasites qui pourront être interprétés par certaines bascules comme des fronts d horloge; d où un fonctionnement non prédictible des bascules. e plus, dans ce type de montage, le signal de sortie de la logique combinatoire sera normalement routé par des ressources d interconnexions générales. Le temps de propagation jusqu à l entrée des bascules présentera un décalage (skew) difficilement contrôlable. Ceci augmentera encore le risque de fonctionnement aléatoire. ans l exemple illustré sur la figure 7b, une fonction combinatoire va effectuer un «set» (ou un «reset») asynchrone d une ou plusieurs bascules. Comme dans toute FIGURE 7 En a, ne pas générer une horloge à partir d une fonction combinatoire. En b, ne pas générer un signal de contrôle à partir d une fonction combinatoire. A B C SET ou RESET asynchrone Extrait du n 125 d Electronique - Mai

5 uelques conseils pour une conception synchrone Buffer global () (automatiquement inséré par les outils de synthèse) Broche spécialisée (imposée par le concepteur ou librement choisie par l outil de placement) Ram Arbre d horloge (automatiquement connecté en sortie du et garantissant un délai identique sur chacune des destinations) fonction combinatoire, le signal de sortie ne sera généralement pas exempt de parasites, et des remises à «1» intempestives sont à prévoir. Afin d éviter ces problèmes très difficilement maîtrisables par des techniques d implantation complexes et coûteuses, il est important d adopter une méthodologie adéquate. Un développement fiable doit pouvoir être reproduit de manière totalement prédictible et indépendamment de la technologie utilisée qui évolue tous les jours. Il doit présenter un fonctionnement sans faille quelle que soit la fréquence de fonctionnement, du continu jusqu à une fréquence limite, dans toute la plage active de l application. Il se trouve que cette reproductibilité est particulièrement aisée à obtenir: il suffit pour cela de concevoir avec une méthodologie synchrone. Un développement (ou une partie de développement) synchrone est entièrement séquencé par une horloge. Celle-ci est distribuée sur des ressources spécialisées (buffer global ou, et arbres d horloges associés), de manière à garantir la simultanéité parfaite des fronts sur tous les éléments destinataires. La figure 8 illustre FIGURE 8 Le respect de ces quelques principes assure que tous les éléments reçoivent les signaux nécessaires (dont l horloge) à l exact moment prévu. quelques recommandations utiles pour une conception synchrone. Les bascules et autres éléments séquencés reçoivent l horloge précisément au même moment, car celle-ci est distribuée par un arbre spécialisé, grâce au buffer global, lui-même automatiquement inféré par l outil de synthèse sur l analyse du code. Le set (ou reset) asynchrone n est pas utilisé en cours de fonctionnement, mais seulement pour l initialisation lors de la mise sous tension. Lorsque deux modules travaillant dans des domaines d horloges différents échangent des données, le concepteur devra prendre les précautions nécessaires pour s assurer de la validité de l interprétation des données par l élément récepteur. La figure 9 expose l une des manières de faire communiquer entre elles deux portions de circuit séquencées par des horloges asynchrones. ans cet exemple, les échanges de données entre les deux domaines d horloge s effectuent par l intermédiaire d une mémoire Fifo. L horloge 2 est utilisée comme horloge Ram Echanges de données sur deux horloges ( 1 et 2 ) FIGURE 9 ans cet exemple, l échange des données entre les zones du circuit, contrôlées l une par 1 et l autre par 2, s effectue par l intermédiaire d une mémoire Fifo. 1 2 R_ R ENA Fifo WR ENA WR_ d écriture, alors que la lecture est séquencée par 1. Les signaux «autorisation d écriture» (WR-ENA) et «autorisation de lecture» (R-ENA) sont respectivement générés à partir des horloges 2 et 1. L asynchronisme entre les deux horloges est absorbé dans la Fifo. Sa profondeur devra prendre en compte les différences de cadence sur chacun des deux ports. e nombreux autres cas de figure peuvent être envisagés. En tout état de cause, le passage d informations d un domaine d horloge vers un autre devra faire l objet d une attention particulière pour assurer l intégrité du transfert. 6 Extrait du n 125 d Electronique - Mai 2002

VIII- Circuits séquentiels. Mémoires

VIII- Circuits séquentiels. Mémoires 1 VIII- Circuits séquentiels. Mémoires Maintenant le temps va intervenir. Nous avions déjà indiqué que la traversée d une porte ne se faisait pas instantanément et qu il fallait en tenir compte, notamment

Plus en détail

DOCUMENT PROTEGE PAR UN DROIT DE COPIE. CPLD ou FPGA Critères de choix. page 1

DOCUMENT PROTEGE PAR UN DROIT DE COPIE. CPLD ou FPGA Critères de choix. page 1 Une des caractéristiques du domaine des circuits programmables est d être résolument moderne, tirant parti des évolutions concernant les procédés technologiques, la propriété intellectuelle(ip), l Internet,

Plus en détail

ELP 304 : Électronique Numérique. Cours 1 Introduction

ELP 304 : Électronique Numérique. Cours 1 Introduction ELP 304 : Électronique Numérique Cours 1 Introduction Catherine Douillard Dépt Électronique Les systèmes numériques : généralités (I) En électronique numérique, le codage des informations utilise deux

Plus en détail

IFT1215 Introduction aux systèmes informatiques

IFT1215 Introduction aux systèmes informatiques Introduction aux circuits logiques de base IFT25 Architecture en couches Niveau 5 Niveau 4 Niveau 3 Niveau 2 Niveau Niveau Couche des langages d application Traduction (compilateur) Couche du langage d

Plus en détail

Fiche technique CPU 314SC/DPM (314-6CG13)

Fiche technique CPU 314SC/DPM (314-6CG13) Fiche technique CPU 314SC/DPM (3146CG13) Données techniques N de commande 3146CG13 Type CPU 314SC/DPM Information générale Note Caractéristiques SPEEDBus Technologie SPEED7 24 x DI, 16 x DO, 8 x DIO, 4

Plus en détail

FONCTION COMPTAGE BINAIRE ET DIVISION DE FRÉQUENCE

FONCTION COMPTAGE BINAIRE ET DIVISION DE FRÉQUENCE I/ GÉNÉRALITÉS I.1/ Fonction Un compteur binaire est utilisé : -pour compter un certain nombre d'évènements binaires -pour diviser la fréquence d'un signal logique par 2 m Page 1 FONCTION COMPTAGE BINAIRE

Plus en détail

ET 24 : Modèle de comportement d un système Boucles de programmation avec Labview.

ET 24 : Modèle de comportement d un système Boucles de programmation avec Labview. ET 24 : Modèle de comportement d un système Boucles de programmation avec Labview. Sciences et Technologies de l Industrie et du Développement Durable Formation des enseignants parcours : ET24 Modèle de

Plus en détail

Hiérarchie matériel dans le monde informatique. Architecture d ordinateur : introduction. Hiérarchie matériel dans le monde informatique

Hiérarchie matériel dans le monde informatique. Architecture d ordinateur : introduction. Hiérarchie matériel dans le monde informatique Architecture d ordinateur : introduction Dimitri Galayko Introduction à l informatique, cours 1 partie 2 Septembre 2014 Association d interrupteurs: fonctions arithmétiques élémentaires Elément «NON» Elément

Plus en détail

QUESTION 1 {2 points}

QUESTION 1 {2 points} ELE4301 Systèmes logiques II Page 1 de 8 QUESTION 1 {2 points} En se servant de paramètres électriques donnés dans le Tableau 1 ci-dessous, on désire déterminer la fréquence d opération du compteur présenté

Plus en détail

GPA770 Microélectronique appliquée Exercices série A

GPA770 Microélectronique appliquée Exercices série A GPA770 Microélectronique appliquée Exercices série A 1. Effectuez les calculs suivants sur des nombres binaires en complément à avec une représentation de 8 bits. Est-ce qu il y a débordement en complément

Plus en détail

Modules d automatismes simples

Modules d automatismes simples Modules d automatismes simples Solutions pour automatiser Modules d'automatismes Enfin, vraiment simple! Un concentré de solution Pour vos petites applications d'automatismes millenium gère : Temporisations

Plus en détail

Cours n 12. Technologies WAN 2nd partie

Cours n 12. Technologies WAN 2nd partie Cours n 12 Technologies WAN 2nd partie 1 Sommaire Aperçu des technologies WAN Technologies WAN Conception d un WAN 2 Lignes Louées Lorsque des connexions dédiées permanentes sont nécessaires, des lignes

Plus en détail

Chapitre 4 : Les mémoires

Chapitre 4 : Les mémoires 1. Introduction: Chapitre 4 : Les mémoires Nous savons que dans un ordinateur toutes les informations : valeur numérique, instruction, adresse, symbole (chiffre, lettre,... etc.) sont manipulées sous une

Plus en détail

TD Architecture des ordinateurs. Jean-Luc Dekeyser

TD Architecture des ordinateurs. Jean-Luc Dekeyser TD Architecture des ordinateurs Jean-Luc Dekeyser Fiche 1 Nombres de l informatique Exercice 1 Une entreprise désire réaliser la sauvegarde de ses données sur un site distant. Le volume de données à sauvegarder

Plus en détail

CONVERTISSEURS NA ET AN

CONVERTISSEURS NA ET AN Convertisseurs numériques analogiques (xo Convertisseurs.doc) 1 CONVTIU NA T AN NOT PLIMINAI: Tous les résultats seront exprimés sous formes littérales et encadrées avant les applications numériques. Les

Plus en détail

Quoi de neuf en contrôle/commande et systèmes embarqués (RIO, WSN...)?

Quoi de neuf en contrôle/commande et systèmes embarqués (RIO, WSN...)? Quoi de neuf en contrôle/commande et systèmes embarqués (RIO, WSN...)? Mathieu PACE National Instruments, Ingénieur d applications L architecture RIO se développe Processeur FPGA E/S E/S E/S personnalisées

Plus en détail

Quoi de neuf en LabVIEW FPGA 2010?

Quoi de neuf en LabVIEW FPGA 2010? Quoi de neuf en LabVIEW FPGA 2010? Yannick DEGLA Ingénieur d Application Fonctionnalités de LabVIEW FPGA 2010 Nœud d intégration d IP - Importer directement des fichiers.xco de Xilinx ou vos propres VHDL

Plus en détail

Architecture des ordinateurs TD1 - Portes logiques et premiers circuits

Architecture des ordinateurs TD1 - Portes logiques et premiers circuits Architecture des ordinateurs TD1 - Portes logiques et premiers circuits 1 Rappel : un peu de logique Exercice 1.1 Remplir la table de vérité suivante : a b a + b ab a + b ab a b 0 0 0 1 1 0 1 1 Exercice

Plus en détail

ARDUINO DOSSIER RESSOURCE POUR LA CLASSE

ARDUINO DOSSIER RESSOURCE POUR LA CLASSE ARDUINO DOSSIER RESSOURCE POUR LA CLASSE Sommaire 1. Présentation 2. Exemple d apprentissage 3. Lexique de termes anglais 4. Reconnaître les composants 5. Rendre Arduino autonome 6. Les signaux d entrée

Plus en détail

Eléments constitutifs et synthèse des convertisseurs statiques. Convertisseur statique CVS. K à séquences convenables. Source d'entrée S1

Eléments constitutifs et synthèse des convertisseurs statiques. Convertisseur statique CVS. K à séquences convenables. Source d'entrée S1 1 Introduction Un convertisseur statique est un montage utilisant des interrupteurs à semiconducteurs permettant par une commande convenable de ces derniers de régler un transfert d énergie entre une source

Plus en détail

ADÉQUATION ALGORITHME-ARCHITECTURE APPLIQUÉE AUX CIRCUITS RECONFIGURABLES

ADÉQUATION ALGORITHME-ARCHITECTURE APPLIQUÉE AUX CIRCUITS RECONFIGURABLES ADÉQUATION ALGORITHME-ARCHITECTURE APPLIQUÉE AUX CIRCUITS RECONFIGURABLES AILTON F. DIAS, MOHAMED AKIL, CHRISTOPHE LAVARENNE, YVES SOREL CNEN/CDTN Divisão de Computação e Informação, CP 941-012-970 Belo

Plus en détail

Conception de circuits numériques et architecture des ordinateurs

Conception de circuits numériques et architecture des ordinateurs Conception de circuits numériques et architecture des ordinateurs Frédéric Pétrot et Sébastien Viardot Année universitaire 2011-2012 Structure du cours C1 C2 C3 C4 C5 C6 C7 C8 C9 C10 C11 C12 Codage des

Plus en détail

Prise en main. Prise en main - 0

Prise en main. Prise en main - 0 Prise en main 0404 Prise en main - 0 1- Introduction Creative Professional Merci d avoir choisi le Digital Audio System 0404 d E-MU. Nous avons conçu ce produit E-MU pour qu il soit logique, intuitif et

Plus en détail

Présentation et installation PCE-LOG V4 1-5

Présentation et installation PCE-LOG V4 1-5 PCE-LOG V4 version borne externe type PC50 mesures U, I + 3 TS version coffret mural mesures U, U, I + 3TS PRESENTATION 1-5 1 Presentation PCE-LOG V4 est un datalogger pour la télésurveillance de la protection

Plus en détail

Université de La Rochelle. Réseaux TD n 6

Université de La Rochelle. Réseaux TD n 6 Réseaux TD n 6 Rappels : Théorème de Nyquist (ligne non bruitée) : Dmax = 2H log 2 V Théorème de Shannon (ligne bruitée) : C = H log 2 (1+ S/B) Relation entre débit binaire et rapidité de modulation :

Plus en détail

Conception Systèmes numériques VHDL et synthèse automatique des circuits

Conception Systèmes numériques VHDL et synthèse automatique des circuits Année 2011-2012 Conception Systèmes numériques VHDL et synthèse automatique des circuits Travaux pratiques WIDEMACV1 LAAS-CNRS 2011 Présentation du simulateur VHDL sous environnement Cadence Présentation

Plus en détail

Logique séquentielle

Logique séquentielle Bascules et logique séquentielle aniel Etiemble de@lri.fr Logique séquentielle Logique séquentielle Le système a des «états» ans un système séquentiel Éléments de mémorisation Les sorties dépendent des

Plus en détail

J AUVRAY Systèmes Electroniques TRANSMISSION DES SIGNAUX NUMERIQUES : SIGNAUX EN BANDE DE BASE

J AUVRAY Systèmes Electroniques TRANSMISSION DES SIGNAUX NUMERIQUES : SIGNAUX EN BANDE DE BASE RANSMISSION DES SIGNAUX NUMERIQUES : SIGNAUX EN BANDE DE BASE Un message numérique est une suite de nombres que l on considérera dans un premier temps comme indépendants.ils sont codés le plus souvent

Plus en détail

La conversion de données : Convertisseur Analogique Numérique (CAN) Convertisseur Numérique Analogique (CNA)

La conversion de données : Convertisseur Analogique Numérique (CAN) Convertisseur Numérique Analogique (CNA) La conversion de données : Convertisseur Analogique Numérique (CAN) Convertisseur Numérique Analogique (CNA) I. L'intérêt de la conversion de données, problèmes et définitions associés. I.1. Définitions:

Plus en détail

IN SYSTEM. Préconisations techniques pour Sage 100 Windows, MAC/OS, et pour Sage 100 pour SQL Server V16. Objectif :

IN SYSTEM. Préconisations techniques pour Sage 100 Windows, MAC/OS, et pour Sage 100 pour SQL Server V16. Objectif : IN SYSTEM Préconisations techniques pour Sage 100 Windows, MAC/OS, et pour Sage 100 pour SQL V16 Objectif : En synthèse des manuels de référence de Sage Ligne 100, ce document vous présente les préconisations,

Plus en détail

UE 503 L3 MIAGE. Initiation Réseau et Programmation Web La couche physique. A. Belaïd

UE 503 L3 MIAGE. Initiation Réseau et Programmation Web La couche physique. A. Belaïd UE 503 L3 MIAGE Initiation Réseau et Programmation Web La couche physique A. Belaïd abelaid@loria.fr http://www.loria.fr/~abelaid/ Année Universitaire 2011/2012 2 Le Modèle OSI La couche physique ou le

Plus en détail

TeamConnect. SL TeamConnect CU1, Unité centrale SL TeamConnect CB1, Combox CARACTÉRISTIQUES

TeamConnect. SL TeamConnect CU1, Unité centrale SL TeamConnect CB1, Combox CARACTÉRISTIQUES TeamConnect SL TeamConnect CU1, Unité centrale SL TeamConnect CB1, Combox CARACTÉRISTIQUES 8 Connectivité par ligne téléphonique terrestre et PC/Voix sur IP (VoiP) pour téléconférence via téléphone et

Plus en détail

WWW.ELCON.SE Multichronomètre SA10 Présentation générale

WWW.ELCON.SE Multichronomètre SA10 Présentation générale WWW.ELCON.SE Multichronomètre SA10 Présentation générale Le SA10 est un appareil portable destiné au test des disjoncteurs moyenne tension et haute tension. Quoiqu il soit conçu pour fonctionner couplé

Plus en détail

Projet de synthèse de l'électronique analogique : réalisation d'une balance à jauges de contrainte

Projet de synthèse de l'électronique analogique : réalisation d'une balance à jauges de contrainte J3eA, Journal sur l enseignement des sciences et technologies de l information et des systèmes, Volume 4, HorsSérie 2, 20 (2005) DOI : http://dx.doi.org/10.1051/bibj3ea:2005720 EDP Sciences, 2005 Projet

Plus en détail

Métriques de performance pour les algorithmes et programmes parallèles

Métriques de performance pour les algorithmes et programmes parallèles Métriques de performance pour les algorithmes et programmes parallèles 11 18 nov. 2002 Cette section est basée tout d abord sur la référence suivante (manuel suggéré mais non obligatoire) : R. Miller and

Plus en détail

Relais d'arrêt d'urgence, protecteurs mobiles

Relais d'arrêt d'urgence, protecteurs mobiles PNOZ Relais jusqu'en d'arrêt 11 catégorie d'urgence, 4, EN 954-1 protecteurs mobiles Bloc logique de sécurité pour la surveillance de poussoirs d'arrêt d'urgence et de protecteurs mobiles Homologations

Plus en détail

REALISATION d'un. ORDONNANCEUR à ECHEANCES

REALISATION d'un. ORDONNANCEUR à ECHEANCES REALISATION d'un ORDONNANCEUR à ECHEANCES I- PRÉSENTATION... 3 II. DESCRIPTION DU NOYAU ORIGINEL... 4 II.1- ARCHITECTURE... 4 II.2 - SERVICES... 4 III. IMPLÉMENTATION DE L'ORDONNANCEUR À ÉCHÉANCES... 6

Plus en détail

1. PRESENTATION DU PROJET

1. PRESENTATION DU PROJET Bac STI2D Formation des enseignants Jean-François LIEBAUT Denis PENARD SIN 63 : Prototypage d un traitement de l information analogique et numérique (PSoC) 1. PRESENTATION DU PROJET Les systèmes d éclairage

Plus en détail

Description d'une liaison

Description d'une liaison escription d'une liaison I. Constitution d'une liaison...2 II. Modes d'exploitation...2 III. Normalisation des jonctions...2 III.1. Norme V28 (RS232) 3 III.2. Norme V11 (RS422 et RS485) 4 IV. Liaison V24

Plus en détail

Acquisition et conditionnement de l information Les capteurs

Acquisition et conditionnement de l information Les capteurs Acquisition et conditionnement de l information Les capteurs COURS 1. Exemple d une chaîne d acquisition d une information L'acquisition de la grandeur physique est réalisée par un capteur qui traduit

Plus en détail

LA MESURE INDUSTRIELLE

LA MESURE INDUSTRIELLE E02 LA MESURE INDUSTRIELLE 20 Heures Technicien responsable de la maintenance Approfondir les techniques de mesure; Prendre en compte l aspect métrologie. Connaître les limites et les facteurs d influences

Plus en détail

Instruments de mesure

Instruments de mesure Chapitre 9a LES DIFFERENTS TYPES D'INSTRUMENTS DE MESURE Sommaire Le multimètre L'oscilloscope Le fréquencemètre le wattmètre Le cosphimètre Le générateur de fonctions Le traceur de Bodes Les instruments

Plus en détail

Introduction à l électronique de puissance Synthèse des convertisseurs statiques. Lycée Richelieu TSI 1 Année scolaire 2006-2007 Sébastien GERGADIER

Introduction à l électronique de puissance Synthèse des convertisseurs statiques. Lycée Richelieu TSI 1 Année scolaire 2006-2007 Sébastien GERGADIER Introduction à l électronique de puissance Synthèse des convertisseurs statiques Lycée Richelieu TSI 1 Année scolaire 2006-2007 Sébastien GERGADIER 28 janvier 2007 Table des matières 1 Synthèse des convertisseurs

Plus en détail

Réseau Global MIDI Note applicative

Réseau Global MIDI Note applicative Réseau Global MIDI Note applicative 1 But du manuel Le but de cette note applicative est de démystifié l utilisation du MIDI transporté dans un Réseau Global MIDI. Ce réseau virtuel offre sans aucune restriction,

Plus en détail

ASR1 TD7 : Un microprocesseur RISC 16 bits

ASR1 TD7 : Un microprocesseur RISC 16 bits {Â Ö Ñ º ØÖ Ý,È ØÖ ºÄÓ Ù,Æ ÓÐ ºÎ ÝÖ Ø¹ ÖÚ ÐÐÓÒ} Ò ¹ÐÝÓÒº Ö ØØÔ»»Ô Ö Óº Ò ¹ÐÝÓÒº Ö» Ö Ñ º ØÖ Ý»¼ Ö½» ASR1 TD7 : Un microprocesseur RISC 16 bits 13, 20 et 27 novembre 2006 Présentation générale On choisit

Plus en détail

T500 DUAlTACH. JAQUET T500 DualTach Instrument de mesure et de surveillance équipé de 2 entrées fréquence TACHYMETRE 2 CANAUX

T500 DUAlTACH. JAQUET T500 DualTach Instrument de mesure et de surveillance équipé de 2 entrées fréquence TACHYMETRE 2 CANAUX 02-09 T500 DUAlTACH JAQUET T500 DualTach Instrument de mesure et de surveillance équipé de 2 entrées fréquence JAQUET T500 DualTach Instrument multi canal de mesure et de surveillance pour applications

Plus en détail

Tout sur l USB L USB (Universal Serial Bus) a été élaboré en 1996 par Intel, Compaq,Digital,IBM,Microsoft,NEC et NorthTelec (USB 1.0).

Tout sur l USB L USB (Universal Serial Bus) a été élaboré en 1996 par Intel, Compaq,Digital,IBM,Microsoft,NEC et NorthTelec (USB 1.0). Tout sur l USB L USB (Universal Serial Bus) a été élaboré en 1996 par Intel, Compaq,Digital,IBM,Microsoft,NEC et NorthTelec (USB 1.0). Deux systèmes munis d un port (entrée-sortie) sont reliés par un câble.

Plus en détail

DimNet Gradateurs Numériques Evolués Compulite. CompuDim 2000

DimNet Gradateurs Numériques Evolués Compulite. CompuDim 2000 DimNet Gradateurs Numériques Evolués Compulite La gamme des gradateurs Compulite est conçue autour des technologies les plus récentes et les plus évoluées que ces 20 dernières années ont vu apparaître.

Plus en détail

TEPZZ 568448A_T EP 2 568 448 A1 (19) (11) EP 2 568 448 A1 (12) DEMANDE DE BREVET EUROPEEN. (51) Int Cl.: G07F 7/08 (2006.01) G06K 19/077 (2006.

TEPZZ 568448A_T EP 2 568 448 A1 (19) (11) EP 2 568 448 A1 (12) DEMANDE DE BREVET EUROPEEN. (51) Int Cl.: G07F 7/08 (2006.01) G06K 19/077 (2006. (19) TEPZZ 68448A_T (11) EP 2 68 448 A1 (12) DEMANDE DE BREVET EUROPEEN (43) Date de publication: 13.03.2013 Bulletin 2013/11 (1) Int Cl.: G07F 7/08 (2006.01) G06K 19/077 (2006.01) (21) Numéro de dépôt:

Plus en détail

THÈSE DEVANT L UNIVERSITÉ DE RENNES 1

THÈSE DEVANT L UNIVERSITÉ DE RENNES 1 N d ordre : 3881 THÈSE présentée DEVANT L UNIVERSITÉ DE RENNES 1 pour obtenir le grade de : DOCTEUR DE L UNIVERSITÉ DE RENNES 1 Mention : Traitement du Signal et Télécommunications par Julien LALLET Équipe

Plus en détail

Neu. Technique d installation / de surveillance. VARIMETER RCM Contrôleur différentiel type B IP 5883

Neu. Technique d installation / de surveillance. VARIMETER RCM Contrôleur différentiel type B IP 5883 Technique d installation / de surveillance VARIMETER RCM Contrôleur différentiel type IP 5883 0249636 X1 A1 X1 i2 11 Alarm 12 Neu IP 5583 N 5018/035 N 5018/030 escription du produit Le contrôleur différentiel

Plus en détail

Relais d'arrêt d'urgence, protecteurs mobiles

Relais d'arrêt d'urgence, protecteurs mobiles Gertebild ][Bildunterschrift Bloc logique de sécurité pour la surveillance de boutons-poussoirs de arrêt d'urgence et de protecteurs mobiles Homologations Caractéristiques des appareils Gertemerkmale Sorties

Plus en détail

Conception Systèmes numériques VHDL et synthèse automatique des circuits

Conception Systèmes numériques VHDL et synthèse automatique des circuits Année 2008-2009 Conception Systèmes numériques VHDL et synthèse automatique des circuits Travaux pratiques Pentium4 Présentation du simulateur VHDL sous environnement Cadence Présentation de l outil Synopsys

Plus en détail

Transmissions série et parallèle

Transmissions série et parallèle 1. Introduction : Un signal numérique transmet généralement plusieurs digits binaires. Exemple : 01000001 ( huit bits). Dans une transmission numérique on peut envisager deux modes : les envoyer tous en

Plus en détail

Le multiplexage. Sommaire

Le multiplexage. Sommaire Sommaire Table des matières 1- GENERALITES... 2 1-1 Introduction... 2 1-2 Multiplexage... 4 1-3 Transmission numérique... 5 2- LA NUMERATION HEXADECIMALE Base 16... 8 3- ARCHITECTURE ET PROTOCOLE DES RESEAUX...

Plus en détail

Lecteur de carte à puce LCPM1 SOMMAIRE

Lecteur de carte à puce LCPM1 SOMMAIRE SOMMAIRE I Différents types de cartes p2 1.1- Carte magnétique 1.2- Carte II Les cartes s. p3 2.1- Introduction 2.2- Constitution III Les familles de cartes s. p6 3.1- Les cartes à mémoire simple 3.2-

Plus en détail

Chapitre 13 Numérisation de l information

Chapitre 13 Numérisation de l information DERNIÈRE IMPRESSION LE 2 septembre 2013 à 17:33 Chapitre 13 Numérisation de l information Table des matières 1 Transmission des informations 2 2 La numérisation 2 2.1 L échantillonage..............................

Plus en détail

MICROCONTROLEURS PIC PROGRAMMATION EN C. V. Chollet - cours-pic-13b - 09/12/2012 Page 1 sur 44

MICROCONTROLEURS PIC PROGRAMMATION EN C. V. Chollet - cours-pic-13b - 09/12/2012 Page 1 sur 44 MICROCONTROLEURS PIC PROGRAMMATION EN C V. Chollet - cours-pic-13b - 09/12/2012 Page 1 sur 44 Chapitre 1 GENERALITES 1 DEFINITION Un microcontrôleur est un microprocesseur RISC (Reduced Instruction Set

Plus en détail

Introduction à l architecture des ordinateurs. Adrien Lebre Décembre 2007

Introduction à l architecture des ordinateurs. Adrien Lebre Décembre 2007 Introduction à l architecture des ordinateurs Adrien Lebre Décembre 2007 Plan - partie 1 Vue d ensemble La carte mère Le processeur La mémoire principal Notion de bus Introduction à l architecture des

Plus en détail

Ordinateurs, Structure et Applications

Ordinateurs, Structure et Applications Ordinateurs, Structure et Applications Cours 19, Le USB Etienne Tremblay Université Laval, Hiver 2012 Cours 19, p.1 USB signifie Universal Serial Bus USB Le USB a été conçu afin de remplacer le port série

Plus en détail

Les Réseaux Informatiques

Les Réseaux Informatiques Les Réseaux Informatiques Licence Informatique, filière SMI Université Mohammed-V Agdal Faculté des Sciences Rabat, Département Informatique Avenue Ibn Batouta, B.P. 1014 Rabat Professeur Enseignement

Plus en détail

EMETTEUR ULB. Architectures & circuits. Ecole ULB GDRO ESISAR - Valence 23-27/10/2006. David MARCHALAND STMicroelectronics 26/10/2006

EMETTEUR ULB. Architectures & circuits. Ecole ULB GDRO ESISAR - Valence 23-27/10/2006. David MARCHALAND STMicroelectronics 26/10/2006 EMETTEUR ULB Architectures & circuits David MARCHALAND STMicroelectronics 26/10/2006 Ecole ULB GDRO ESISAR - Valence 23-27/10/2006 Introduction Emergence des applications de type LR-WPAN : Dispositif communicant

Plus en détail

Manipulations du laboratoire

Manipulations du laboratoire Manipulations du laboratoire 1 Matériel Les manipulations de ce laboratoire sont réalisées sur une carte électronique comprenant un compteur 4-bit asynchrone (74LS93) avec possibilité de déclenchement

Plus en détail

On distingue deux grandes catégories de mémoires : mémoire centrale (appelée également mémoire interne)

On distingue deux grandes catégories de mémoires : mémoire centrale (appelée également mémoire interne) Mémoire - espace destiné a recevoir, conserver et restituer des informations à traiter - tout composant électronique capable de stocker temporairement des données On distingue deux grandes catégories de

Plus en détail

Système de sécurité de périmètre INTREPID

Système de sécurité de périmètre INTREPID TM Système de sécurité de périmètre INTREPID La nouvelle génération de systèmes de sécurité de périmètre MicroPoint Cable combine la technologie brevetée de Southwest Microwave, la puissance d un micro

Plus en détail

MT-703. Surveillance de niveau par sonde résistive TELEMETRIE PAR MODULE GSM CONFIGURATION ET UTILISATION

MT-703. Surveillance de niveau par sonde résistive TELEMETRIE PAR MODULE GSM CONFIGURATION ET UTILISATION TELEMETRIE PAR MODULE GSM CONFIGURATION ET UTILISATION Surveillance de niveau par sonde résistive MT-703 LOREME 12, rue des Potiers d'etain Actipole BORNY - B.P. 35014-57071 METZ CEDEX 3 Téléphone 03.87.76.32.51

Plus en détail

Tout savoir sur le matériel informatique

Tout savoir sur le matériel informatique Tout savoir sur le matériel informatique Thème de l exposé : Les Processeurs Date : 05 Novembre 2010 Orateurs : Hugo VIAL-JAIME Jérémy RAMBAUD Sommaire : 1. Introduction... 3 2. Historique... 4 3. Relation

Plus en détail

Solutions pour la mesure. de courant et d énergie

Solutions pour la mesure. de courant et d énergie Solutions pour la mesure de courant et d énergie Mesure et analyse de signal Solutions WAGO pour la surveillance et l économie d énergie Boucles de mesure Rogowski, série 855 pour la mesure non intrusive

Plus en détail

SIN-FPGA DESCRIPTION PAR SCHEMA

SIN-FPGA DESCRIPTION PAR SCHEMA SIN-FPGA DESCRIPTION PAR SCHEMA Documents ressources: http://www.altera.com/literature/lit-index.html Introduction to Quartus II : intro_to_quartus2.pdf Documentation QUARTUS II : quartusii_handbook.pdf

Plus en détail

Programme-cadre et détail du programme des examens relatifs aux modules des cours de technologie, théorie professionnelle

Programme-cadre et détail du programme des examens relatifs aux modules des cours de technologie, théorie professionnelle Profil des compétences professionnelles Programme-cadre et détail du programme des examens relatifs aux modules des cours de technologie, théorie professionnelle Organisation pratique Détail du programme

Plus en détail

0 20mV; 0 40mV; 0 80mV; 0 160mV; 0 320mV; 0 640mV; 0 1,28V; 0 2,56V 0 5V; 0 10V

0 20mV; 0 40mV; 0 80mV; 0 160mV; 0 320mV; 0 640mV; 0 1,28V; 0 2,56V 0 5V; 0 10V ITM carte d entrèes analogues INTERFACES DE PROCES La carte ITM a 8 isolées entrées analogiques, chaque canal a un 16 bit A/N convertisseurs, avec une haute stabilité et une très haute rapport de réjection

Plus en détail

TP Modulation Démodulation BPSK

TP Modulation Démodulation BPSK I- INTRODUCTION : TP Modulation Démodulation BPSK La modulation BPSK est une modulation de phase (Phase Shift Keying = saut discret de phase) par signal numérique binaire (Binary). La phase d une porteuse

Plus en détail

SYSTEME DE PALPAGE A TRANSMISSION RADIO ETUDE DU RECEPTEUR (MI16) DOSSIER DE PRESENTATION. Contenu du dossier :

SYSTEME DE PALPAGE A TRANSMISSION RADIO ETUDE DU RECEPTEUR (MI16) DOSSIER DE PRESENTATION. Contenu du dossier : SYSTEME DE PALPAGE A TRANSMISSION RADIO ETUDE DU RECEPTEUR (MI16) DOSSIER DE PRESENTATION Contenu du dossier : 1. PRESENTATION DU SYSTEME DE PALPAGE A TRANSMISSION RADIO....1 1.1. DESCRIPTION DU FABRICANT....1

Plus en détail

Série 77 - Relais statiques modulaires 5A. Caractéristiques. Relais temporisés et relais de contrôle

Série 77 - Relais statiques modulaires 5A. Caractéristiques. Relais temporisés et relais de contrôle Série 77 - Relais statiques modulaires 5A Caractéristiques 77.01.x.xxx.8050 77.01.x.xxx.8051 Relais statiques modulaires, Sortie 1NO 5A Largeur 17.5mm Sortie AC Isolation entre entrée et sortie 5kV (1.2/

Plus en détail

Chapitre 5 : Flot maximal dans un graphe

Chapitre 5 : Flot maximal dans un graphe Graphes et RO TELECOM Nancy A Chapitre 5 : Flot maximal dans un graphe J.-F. Scheid 1 Plan du chapitre I. Définitions 1 Graphe Graphe valué 3 Représentation d un graphe (matrice d incidence, matrice d

Plus en détail

Contribution à la conception par la simulation en électronique de puissance : application à l onduleur basse tension

Contribution à la conception par la simulation en électronique de puissance : application à l onduleur basse tension Contribution à la conception par la simulation en électronique de puissance : application à l onduleur basse tension Cyril BUTTAY CEGELY VALEO 30 novembre 2004 Cyril BUTTAY Contribution à la conception

Plus en détail

Codage d information. Codage d information : -Définition-

Codage d information. Codage d information : -Définition- Introduction Plan Systèmes de numération et Représentation des nombres Systèmes de numération Système de numération décimale Représentation dans une base b Représentation binaire, Octale et Hexadécimale

Plus en détail

Oscilloscope actif de précision CONCEPT 4000M

Oscilloscope actif de précision CONCEPT 4000M Oscilloscope actif de précision CONCEPT 4000M ZI Toul Europe, Secteur B 54200 TOUL Tél.: 03.83.43.85.75 Email : deltest@deltest.com www.deltest.com Introduction L oscilloscope actif de précision Concept

Plus en détail

Contrôleur de communications réseau. Guide de configuration rapide DN1657-0606

Contrôleur de communications réseau. Guide de configuration rapide DN1657-0606 K T - N C C Contrôleur de communications réseau Guide de configuration rapide DN1657-0606 Objectif de ce document Ce Guide de configuration rapide s adresse aux installateurs qui sont déjà familiers avec

Plus en détail

J TB/TW Limiteur de température, contrôleur de température avec afficheur LCD, montage sur rail oméga 35 mm

J TB/TW Limiteur de température, contrôleur de température avec afficheur LCD, montage sur rail oméga 35 mm JUMO GmbH & Co. KG Adresse de livraison : Mackenrodtstraße 14, 36039 Fulda, Allemagne Adresse postale : 36035 Fulda, Allemagne Téléphone : +49 661 6003-0 Télécopieur : +49 661 6003-607 E-Mail : mail@jumo.net

Plus en détail

Electron S.R.L. SERIE B46 - SYSTEMES DIDACTIQUES DE TELEPHONIE

Electron S.R.L. SERIE B46 - SYSTEMES DIDACTIQUES DE TELEPHONIE Electron S.R.L. Design Production & Trading of Educational Equipment SERIE B46 - SYSTEMES DIDACTIQUES DE TELEPHONIE Specifications may change without notic Page 1 of 9 File BROCHURE B46xx B4610 UNITE DIDACTIQUE

Plus en détail

TO-PASS -FAQ- Télésurveillance et contrôle à distance

TO-PASS -FAQ- Télésurveillance et contrôle à distance TO-PASS -FAQ- Télésurveillance et contrôle à distance Pourquoi utiliser le TO-PASS? Les modules TO-PASS sont utilisés pour/comme : Liaison en ligne permanente Indicateur de défaut (alarme) Interrogation

Plus en détail

Cours A7 : Temps Réel

Cours A7 : Temps Réel Cours A7 : Temps Réel Pierre.Paradinas / @ / cnam.fr Cnam/Cedric Systèmes Enfouis et Embarqués (SEE) Motivations Du jour : les mécanismes multitâches, la gestion des priorités, l ordonnancement, la gestion

Plus en détail

UMG 20CM. UMG 20CM Appareil de surveillance des circuits de distribution avec 20 entrées et RCM. Analyse d harmoniques RCM. Gestion d alarmes.

UMG 20CM. UMG 20CM Appareil de surveillance des circuits de distribution avec 20 entrées et RCM. Analyse d harmoniques RCM. Gestion d alarmes. RCM Analyse d harmoniques Gestion d alarmes 02 Logiciel d analyse GridVis 20 entrées courant UMG 20CM Appareil de surveillance des circuits de distribution avec 20 entrées et RCM Interface / Communikation

Plus en détail

Fiche technique CPU 315SN/PN (315-4PN33)

Fiche technique CPU 315SN/PN (315-4PN33) Fiche technique CPU 315SN/PN (315-4PN33) Données techniques N de commande 315-4PN33 Information générale Note - Caractéristiques SPEED-Bus - Données techniques de l'alimentation Alimentation (valeur nominale)

Plus en détail

I- Définitions des signaux.

I- Définitions des signaux. 101011011100 010110101010 101110101101 100101010101 Du compact-disc, au DVD, en passant par l appareil photo numérique, le scanner, et télévision numérique, le numérique a fait une entrée progressive mais

Plus en détail

CLIP. (Calling Line Identification Presentation) Appareil autonome affichant le numéro appelant

CLIP. (Calling Line Identification Presentation) Appareil autonome affichant le numéro appelant 1. Besoin CLIP (Calling Line Identification Presentation) Appareil autonome affichant le numéro appelant ETUDE FONCTIONNELLE De très nombreux abonnés du réseau téléphonique commuté ont exprimé le besoin

Plus en détail

Qualité du service et VoiP:

Qualité du service et VoiP: Séminaire régional sur les coûts et tarifs pour les pays membres du Groupe AF Bamako (Mali), 7-9 avril 2003 1 Qualité du service et VoiP: Aperçu général et problèmes duvoip Mark Scanlan Aperçu général

Plus en détail

Twincat PLC Temperature Controller. Régulation de Température à l aide de TwinCAT PLC.

Twincat PLC Temperature Controller. Régulation de Température à l aide de TwinCAT PLC. Twincat PLC Temperature Controller Régulation de Température à l aide de TwinCAT PLC. VERSION : 1.0 / JYL DATE : 28 Novembre 2005 1 Installation...4 2 Présentation :...4 3 Schéma fonctionnel :...5 4 Générateur

Plus en détail

Chapitre 2 : communications numériques.

Chapitre 2 : communications numériques. Chapitre 2 : communications numériques. 1) généralités sur les communications numériques. A) production d'un signal numérique : transformation d'un signal analogique en une suite d'éléments binaires notés

Plus en détail

Étude des Corrélations entre Paramètres Statiques et Dynamiques des Convertisseurs Analogique-Numérique en vue d optimiser leur Flot de Test

Étude des Corrélations entre Paramètres Statiques et Dynamiques des Convertisseurs Analogique-Numérique en vue d optimiser leur Flot de Test 11 juillet 2003 Étude des Corrélations entre Paramètres Statiques et Dynamiques des Convertisseurs Analogique-Numérique en vue d optimiser leur Flot de Test Mariane Comte Plan 2 Introduction et objectif

Plus en détail

Equipement. électronique

Equipement. électronique MASTER ISIC Les générateurs de fonctions 1 1. Avant-propos C est avec l oscilloscope, le multimètre et l alimentation stabilisée, l appareil le plus répandu en laboratoire. BUT: Fournir des signau électriques

Plus en détail

0.8 U N /0.5 U N 0.8 U N /0.5 U N 0.8 U N /0.5 U N 0.2 U N /0.1 U N 0.2 U N /0.1 U N 0.2 U N /0.1 U N

0.8 U N /0.5 U N 0.8 U N /0.5 U N 0.8 U N /0.5 U N 0.2 U N /0.1 U N 0.2 U N /0.1 U N 0.2 U N /0.1 U N Série 55 - Relais industriels 7-10 A Caractéristiques 55.12 55.13 55.14 Relais pour usage général avec 2, 3 ou 4 contacts Montage sur circuit imprimé 55.12-2 contacts 10 A 55.13-3 contacts 10 A 55.14-4

Plus en détail

Initiation au HPC - Généralités

Initiation au HPC - Généralités Initiation au HPC - Généralités Éric Ramat et Julien Dehos Université du Littoral Côte d Opale M2 Informatique 2 septembre 2015 Éric Ramat et Julien Dehos Initiation au HPC - Généralités 1/49 Plan du cours

Plus en détail

DU BINAIRE AU MICROPROCESSEUR - D ANGELIS CIRCUITS CONFIGURABLES NOTION DE PROGRAMMATION

DU BINAIRE AU MICROPROCESSEUR - D ANGELIS CIRCUITS CONFIGURABLES NOTION DE PROGRAMMATION 145 NOTION DE PROGRAMMATION 1/ Complétons notre microprocesseur Nous avons, dans les leçons précédentes décrit un microprocesseur théorique, cependant il s inspire du 6800, premier microprocesseur conçu

Plus en détail

Dossier technique. Présentation du bus DMX et Utilisation des options EL13 / EL14 ERM AUTOMATISMES INDUSTRIELS 1 LE PROTOCOLE DMX 2

Dossier technique. Présentation du bus DMX et Utilisation des options EL13 / EL14 ERM AUTOMATISMES INDUSTRIELS 1 LE PROTOCOLE DMX 2 ERM AUTOMATISMES INDUSTRIELS 280 Rue Edouard Daladier 84973 CARPENTRAS Cedex Tél : 04 90 60 05 68 - Fax : 04 90 60 66 26 Site : http://www.erm-automatismes.com/ E-Mail : Contact@erm-automatismes.com 1

Plus en détail

Les fonctions logiques

Les fonctions logiques 1 Les fonctions logiques Le fonctionnement des ordinateurs tout comme d autres appareils électroniques repose sur l emploi des circuits électroniques de logique binaire ou électronique numérique. Dans

Plus en détail

Logique binaire. Aujourd'hui, l'algèbre de Boole trouve de nombreuses applications en informatique et dans la conception des circuits électroniques.

Logique binaire. Aujourd'hui, l'algèbre de Boole trouve de nombreuses applications en informatique et dans la conception des circuits électroniques. Logique binaire I. L'algèbre de Boole L'algèbre de Boole est la partie des mathématiques, de la logique et de l'électronique qui s'intéresse aux opérations et aux fonctions sur les variables logiques.

Plus en détail

Eléments de spécification des systèmes temps réel Pierre-Yves Duval (cppm)

Eléments de spécification des systèmes temps réel Pierre-Yves Duval (cppm) Eléments de spécification des systèmes temps réel Pierre-Yves Duval (cppm) Ecole d informatique temps réel - La Londes les Maures 7-11 Octobre 2002 - Evénements et architectures - Spécifications de performances

Plus en détail

Notice de montage et d utilisation

Notice de montage et d utilisation BECK-O-TRONIC 5 Version : Centronic fr Notice de montage et d utilisation Commande de porte Informations importantes pour: l'installateur / l'électricien / l'utilisateur À transmettre à la personne concernée!

Plus en détail