Architecture des ordinateurs

Dimension: px
Commencer à balayer dès la page:

Download "Architecture des ordinateurs"

Transcription

1 des ordinateurs CentraleSupélec

2 Cours les mémoires et la mémoire cache les périphériques : quoi? canal d échange, protocole d échange, prise en compte par interruption TP BE : Interruptions : écoute passive des périphériques TL : ordonnanceur : exécuter plusieurs programmes en parallèle avec un chemin de données

3 La mémoire

4 Caractéristique des mémoires Caractéristiques Mode d accès : aléatoire (RAM), séquentiel (disque dur), associatif (cache) Capacité d écriture : ROM (en lecture seule), ROM (en lecture et écriture) volatilité : maintien des informations en l absence de courant? (ROM : forcément non volatile, RAM : ça dépend; SDRAM : volatile ; NVRAM : non volatile ou RAM + pile : CMOS)

5 Les mémoires mortes Non volatiles, en lecture seule ( ) ROM : Read Only Memory (programmée à la fabrication) PROM : Programmable Read Only Memory (fusibles; programmable une fois) EPROM : Erasable Programmable Read Only Memory (Ultraviolet) EEPROM : Electrically Programmable Read Only Memory (e.g. pour contenir le BIOS : Basic Input Output System; les paramètres sont mémorisés avec une RAM volatile + batterie)

6 Les mémoires en lecture/écriture Volatiles, accès aléatoire Registres : bascules D maître/esclave Static RAM (SRAM) Dynamic RAM (DRAM) Non-Volatiles accès séquentiel : Disques durs magnétiques accès aléatoire : disques durs SSD, mémoire flash, nvsram,..

7 Les mémoires vives Registres Registre n bits Registre n bits n D n Q E Clear n D D Q Q D Q Q D Q Q Q n D clk Verrou 1: maître D Q E Q Verrou 2 : esclave D Q E Q Q Q Capacité : bits Temps d accès : 1 ps (10 12 s) Volatile

8 Les mémoires vives Static Random Access Memory (SRAM) word line Q Q bitline bitline amplificateur Capacité : 10 Ko - 10 Mo Temps d accès : 1ns Volatile

9 Les mémoires vives Dynamic random access memory (DRAM) word line V c C bitline Capacité : 10 Go Temps d accès : 80 ns (réécriture toutes les ms) Volatile

10 Les mémoires de masse Disque dur magnétique Têtes de lecture 1 1 Capacité : 1 To Temps d accès : 10 ms Non volatile

11 Synthèse des mémoires vives et de masse Compromis? Type Capacité Latence Coût (au Gi-octet) Registre 100 bits 20 ps très cher SRAM 10 Ko - 10 Mo 1-10 ns 1000 e DRAM 10 Go 80 ns 10 e Flash 100 Go 100 µs 1 e Disque dur Magn 1 To 10 ms 0.1 e Une mémoire rapide et de grosse capacité??

12 Principe de localité La clé Les principes de localité Adresse mémoire Localité temporelle Données Pile Localité spatiale Programme localité spatiale : les accès futures en RAM se feront à des addresses proches des accès courants localité temporelle : une donnée accédée récemment sera certainement réutilisée prochainement Temps

13 Principe de localité Comment exploiter les principes de localité? On combine : 1 une petite mémoire rapide avec 2 une grosse mémoire lente Localité temporelle : on charge en mémoire rapide une donnée à laquelle on accède Localité spatiale : on charge aussi les voisines Politique de remplacement? Dépends de la réalisation...

14 Principe de localité Comment exploiter les principes de localité? Solution 1) A la charge du programmeur Processeur Pas très pratique ns 80 ns Cache (L1, L2,..) SRAM Mémoire principale DRAM

15 Principe de localité Comment exploiter les principes de localité? Solution 2) Hierarchie de mémoires Processeur Performances du cache Cache (L1, L2,..) Mémoire principale SRAM DRAM 10 ns 80 ns Cache hit : la donnée est dans le cache accès rapide Cache miss : la donnée n est pas dans le cache accès lent hits hits+misses misses hits+misses Hit ratio : Miss Ratio : Temps moyen d accès mémoire T m HR.t cache + MR.t mem Pour HR = 90% : T m = 17ns.

16 Réalisation matérielle Principe de fonctionnement d un cache Principe A partir d une petite information (clé), on retrouve le reste (valeur). Analogie : annuaire téléphone En pratique L adresse demandée est divisée en plusieurs parties dont une sert de clé. Tableau associatif : Cache[clé] = {adr, valeur,...} Si la donnée est présente en cache : valide et accès rapide Sinon il faut récupérer la donnée et la placer dans le cache.

17 Réalisation matérielle Réalisations matérielles d un cache Cache à correspondance directe Adresse mémoire sur 16 bits Tag }} Index { }} { { { Adresse demandée 001 E Index (4 bits) E F Valid Tag (12 bits) Data 0 0x010 0x x004 0x x4C0 0x x001 0x xFFF 0x0010 lignes de cache =? Hit RAM[Adr] Cache[Adr 3 Adr 2 Adr 1 Adr 0 ] pourquoi les bits de poids faibles comme index?

18 Réalisation matérielle Réalisations matérielles d un cache Cache à correspondance directe par bloc Adresse mémoire sur 16 bits Tag }} Index {}}{ { { Offset {}}{ 00 Adresse demandée 001 E Index : 11 Index (2 bits) Valid Tag (12 bits) Data 0 0x010 0x x x4C0 1 0x001 0x0001 0x0001 0x0001 0x0001 0x0001 0x0001 0x0001 0x0001 0x0001 0x0001 0x0001 0x0001 0x0002 0x0003 0x0004 lignes de cache Offset : 10 0x0003 =? Hit Un bloc : 2 offset mots; Toutes les adresses de même Index ciblent la même ligne.

19 Réalisation matérielle Réalisations matérielles d un cache Cache associatif Adresse demandée 001 E Adresse mémoire sur 16 bits Tag 0x034 0xF01 0x4C0 0x001 =? =? =? =? Hit Offset : 0xE Valid Tag Offset { }} { { }} { Data (16 mots) 0x0001 0x0001 0x0001 0x0001 0x0001 0x0001 0x0001 0x0002 0x0001 0x0001 0x0001 0x0001 0x0001 0x0001 0x0003 0x0004 0x0003 Une donnée peut occuper n importe quelle ligne de cache. Lourd matériellement.

20 Réalisation matérielle Réalisations matérielles d un cache Cache associatif à n entrées Adresse mémoire sur 16 bits Tag }} Index { }} { { { Adresse demandée 001 E Index (4 bits) E F Valid Tag (12 bits) Data 1 0x010 0x x014 0x x4C0 0x x001 0xF xFFF 0x0001 Valid Tag (12 bits) Data x119 0x008 0x4D0 0x0001 0x0010 0x x005 0x19CB 0 0xFE9 0x0010 Valid Tag (12 bits) Data xF93 0x004 0xD61 0x0001 0xF010 0x x291 0x x01F 0x0020 hit =? =? =? Indexable comme les caches directs, cache[index] étant associatif

21 Cohérence mémoire Cohérence cache/mémoire centrale Processeur Problème Cache (L1, L2,..) Mémoire principale SRAM DRAM 10 ns 80 ns Une donnée d une même adresse peut être à la fois en cache et en mémoire centrale. Politiques d écriture Write through : propagation immédiate d une modification vers la mémoire principale Write back : écriture différée; écriture au remplacement (dirty bit)

22 Les périphériques d entrée/sortie

23 Aperçu Les périphériques

24 Aperçu Structure d un périphérique Au périphérique est associé un contrôleur (e.g. contrôleur disque) qui possède : des registres des mémoires des machines à état... Le périphérique est couplé aux bus d adresses, données, contrôle.

25 Bus Interconnexion des périphériques et du chemin de données P1 CPU P2 P3 CPU P1 P3 Bus P2

26 Bus Interconnexion des périphériques et du chemin de données Microprocesseur e c c c Écran Clavier RAM Bus MicroPC Mux CodeMCount MuxSel D Adr INTA ClearIF SetIF ReadINTAdr CodeMCount D UAL D ReadMem SetMem SetRADM ReadPC SetPC ReadA SetA ReadB SetB SetSP ReadSP E0 Microcode INT E8 Microcode RTI EC Bus données Bus B INTRIF Bus A Bus adresses ReadMem ReadINTAdr ReadA ReadB ReadPC ReadSP RADM INTAdr A B PC SP A SetIF D IF 0x0000 0x0002 0x0000 0x0000 0x0000 0x0000 Q clk UAL Enable SetMem SetRADM SetA SetB SetPC SetSP SetIFClearIF B Z C V S Bus S Bus externes Bus internes

27 Bus Interconnexion des périphériques et du chemin de données RAM Carte graphique PCI express AGP Processeur Bus système (frontside) Northbridge bus PCI Southbridge bus ISA Mémoire cache Disque dur SCSI Carte réseau Ports USB Disque dur IDE Les bus Bus Largeur (bits) Clk (MHz) Débit (Mo/s) Année ISA PCI AGP PCI-E 3 (x16) Bus parallèles (échange de mots, large mais court) / Bus série (bit à bit, e.g. USB)

28 Bus Comment contacter les entrées/sorties? Il faut pouvoir contacter les registres du contrôleur du périphérique. E/S mappées en mémoire comme pour un accès mémoire LDA, STA avec des adresses réservées, ciblant les périphériques Autre solution : pas de bus d adresse; placer dans le message un identifiant du destinataire.

29 Comment discuter sur le bus? Protocoles d échange : e.g. lecture mémoire Echange synchrone Horloge Adresses invalide valide Read Données invalide valide Fréquence d horloge : ajustée au périphérique le plus lent

30 Comment discuter sur le bus? Protocoles d échange : e.g. lecture mémoire Echange asynchrone : Master/Slave Adresses invalide valide Read MSyn requête de lecture données placées données récupérées module déconnecté Ssyn Données invalide valide Pas d horloge mais des signaux d état : handshaking Plus réactif mais plus compliqué à mettre en oeuvre.

31 Comment discuter sur le bus? Protocoles d échange : e.g. lecture mémoire Echange demi-synchrone Attente du processeur Horloge Adresses invalide valide Read Wait Données invalide valide Attente? e.g. Wait State : attente active

32 Comment discuter sur le bus? Et si on est plusieurs à vouloir parler? On sait : comment interconnecter un périphérique avec le chemin de données : bus comment réaliser un transfert synchrone/asynchrone mais on a : un bus plusieurs acteurs : mémoires, périphériques, CPU,... Nécessité d arbitrer, e.g. le premier dans un certain ordre. Et comment la demande d échange du périphérique est prise en charge?

33 Comment discuter sur le bus? Gestion des périphériques par interruption

34 Comment discuter sur le bus? Evènements synchrones Déroutements (trap, exception): événements synchrones avec l exécution d un programme, liés à une erreur : division par zéro, overflow, accès invalide à la mémoire : e.g. stack overflow Ex : Overflow Comment prendre en charge des débordements lors d opérations arithmétiques? 1 le programme teste, après chaque opération, le bit overflow (Status register) programme plus long en mémoire et à l exécution et plus compliqué à écrire 2 dérouter le fil d exécution si un débordement a lieu (à la JZA); pas de surcoût! Au regard d un programme, on peut prévoir quand un déroutement

35 Interruptions Evènements asynchrones Evènements asynchrones; e.g. appui sur un bouton, une touche de clavier, bourrage papier, lecture mémoire terminée,... Prise en charge par scrutation On interroge régulièrement le périphérique programmation explicite surcoût à l exécution Prise en charge par interruption Ecoute passive du périphérique : exécute un programme particulier que lorsque le périphérique lève une demande d interruption sollicite moins le processeur (e.g. lecture sur disque : données prêtes)

36 0x1000 0x3000 0x0010 0x1c00 0x2000 0x0001 0x000A 0x0000 0x0000 0x0000 0x0000 0x0000 [8:15] [0:7] Mux SetT ReadT ReadINTAdr SetIF CodeMCount UAL ReadSP Interruptions Modification du chemin de données On ajoute une ligne de requête d interruption (INTR) qu un périphérique peut mettre à l état haut. Registre IF pour y être sensible ou non c e c c MuxSel MicroPC 00 CodeMCount Adr D D E0 E4 E8 EC Microcode INT Microcode RTI D SetSP ReadSP ClearIF INTA ReadB SetA ReadA SetPC ReadPC SetRADM SetMem ReadMem Bus B INTR IF Bus A ReadMem ReadINTAdr ReadT ReadA ReadB ReadPC ReadSP Do Adr Di RADM INTAdr Temp A B PC 0x0000 0x0002 0x0000 0x0000 0x0000 0x0000 SP 0x0000 SetIF D IF Q clk Enable A UAL B Z C V S SetMem SetRADM SetT SetA SetB SetPC SetSP SetIF ClearIF Bus S

37 Interruptions Ecoute passive des demandes d interruption Avant le fetch/decode, on test si une demande d interruption est levée : sinon, on entre dans la phase de fetch/decode et exécution de l instruction si oui, on part en interruption CodeMCount Z INTR & IF S 1 S 0 Sémantique MicroPC := MicroPC MicroPC MicroPC := Instruction MicroPC := MicroPC+1 si S MicroPC si S = MicroPC si INTR&IF MicroPC := MicroPC+1 si INTR&IF

38 Interruptions Prise en charge de la demande d interruption Principe L interruption doit être gérée de manière transparente pour le programme interrompu sauvegarde du contexte d exécution (sur la pile) Nouvelles instructions INT (0xE000) : partir en interruption : 1 sauvegarde du contexte d exécution sur la pile 2 chargement de l adresse du programme d interruption RTI (0xE800): revenir d une interruption : 1 restauration du contexte d exécution du programme interrompu STI (0xD400) : démasque les interruptions : IF := 1 CLI (0xD000) : Masque les interruptions : IF := 0

39 Interruptions Comment savoir quel programme exécuter en cas d interruptions Vecteur d interruption : programme à exécuter en cas d interruption On stocke une table des vecteurs d interruption en début de mémoire : JMP init JMP introutine init:... ; le programme principal... introutine:... ; la routine d interruption... RTI ; le retour d interruption

40 Interruptions Chez nous : une seule interruption Nous ne prendrons ici en charge qu une interruption, l adresse de la routine d interruption est codée en dur, dans le registre INTAdr

41 Interruptions Résumons 1 le périphérique lève une requête d interruption INTR=1 2 le processeur détecte la requête 3 le processeur accuse réception 4 le processeur sauvegarde l état courant et se branche sur la routine d interruption (vecteur d interruption ou interrupt handler) 5 le processeur restaure l état

42 Interruptions L initialisation Attention La gestion de l interruption implique la pile!! Phase d initialisation (JMP init) minimale : 1 par défaut IF = 0 2 LDSPI... 3 STI

43 Coupleur 0x1000 0x1001 Coupleur <0x1000 D clear Q ReadMem Bus B Bus A 01 Mux [8:15] 10 [0:7] 11 MicroPC ReadA ReadB ReadPC RADM INTAdr Temp A B PC 0x0000 0x0002 0x0000 0x0000 0x0000 0x0000 SetMem SetRADM SetT SetA SetB SetPC 00 MuxSel INTR ReadT IF CodeMCount Adr c e c c D D E0 E4 E8 EC Microcode INT Microcode RTI SP 0x0000 SetSP ReadSP SetIF clk D SetIF Q Enable IF ClearIF UAL A D Bus S 32 S V C Z B SetT ReadT ReadINTAdr SetIF ClearIF INTA CodeMCount UAL SetSP ReadSP SetB ReadB SetA ReadA SetPC ReadPC SetRADM SetMem Interruptions Exemple jouet : En BE Bouton V + INTR RAM INTA SetMem ReadMem ADR D

44 Interruptions Plusieurs interruptions? On s est limité pour le moment à un seul périphérique. Prise en charge de plusieurs interruptions 1 Plusieurs lignes d interruptions 2 Centralisation et arbitrage des demandes d interruptions; Le vecteur d interruption est récupéré sur le bus de données

45 Interruptions Petits pas vers l OS : Ordonnanceur Problème Comment exécuter plusieurs programmes en même temps : 1 avec plusieurs chemins de données (architectures multi-coeurs) 2 avec un seul chemin de données partagé par deux programmes : en TL

46 Interruptions Initialisation

47 Interruptions Basculement de contexte

48 Interruptions Rétrospective et conclusion

49 Interruptions Cours 1 : Codons tout en binaire Codage/décodage valeur Maitre corbeau sur son.. Codage Décodage représentation 00100

50 a1 a0 s0 s1 s2 s3 X0 X1 X2 X3 s1 s0 Y X s1 Y0 Y1 Y2 Y3 U0 U1 U2 U N Z C V Interruptions Cours 2-3 : Transistors, Circuits logiques, séquenceur Couche physique Vcc p-mosfet Vin Vout n-mosfet GND Electronique analogique (ELAN), physique des semi-conducteurs Couche logique A B DEC MUX DEMUX UAL Adr ROM k n Do Capacitée : n 2 k bits s0 Systèmes logiques et électronique associée (SLEA) S

51 Interruptions Cours 4-5 : pile et programmation Pile et procédures Qui met quoi dans la pile? Qui enlève quoi dans la pile? Adresses Adresses Programme appelé Variables locales Programme appelé Variables locales CALL Adresse de retour (PC) RET Adresse de retour (PC) Arguments de la routine Programme appelant Place pour le résultat Sauvegarde éventuelle des registres Arguments de la routine Programme appelant Place pour le résultat Sauvegarde éventuelle des registres Programmation Fondement de l informatique et structures de données (FISDA)

52 Interruptions Cours 6 : mémoires caches Hierarchie de mémoire Adresse mémoire Localité temporelle Données Pile Localité spatiale Programme Processeur Temps Cache (L1, L2,..) Mémoire principale SRAM DRAM 10 ns 80 ns

53 Interruptions Cours 7 : périphériques et interruptions Microprocesseur e c c c Écran Clavier RAM Bus MicroPC Mux CodeMCount MuxSel D Adr INTA ClearIF SetIF ReadINTAdr CodeMCount D UAL D ReadMem SetMem SetRADM ReadPC SetPC ReadA SetA ReadB SetB SetSP ReadSP E0 Microcode INT E8 Microcode RTI EC Bus données Bus B INTRIF Bus A Bus adresses ReadMem ReadINTAdr ReadA ReadB ReadPC ReadSP RADM INTAdr A B PC SP A SetIF D IF 0x0000 0x0002 0x0000 0x0000 0x0000 0x0000 Q clk UAL Enable SetMem SetRADM SetA SetB SetPC SetSP SetIFClearIF B Z C V S Bus S Bus externes Bus internes

54 Interruptions Cours xx Systèmes d informations (SI) 1 Systèmes d exploitation : couche d abstraction supplémentaire systèmes de fichiers mémoire virtuelle ordonnanceur

Sur un ordinateur portable ou un All-in-One tactile, la plupart des éléments mentionnés précédemment sont regroupés. 10) 11)

Sur un ordinateur portable ou un All-in-One tactile, la plupart des éléments mentionnés précédemment sont regroupés. 10) 11) 1/ Généralités : Un ordinateur est un ensemble non exhaustif d éléments qui sert à traiter des informations (documents de bureautique, méls, sons, vidéos, programmes ) sous forme numérique. Il est en général

Plus en détail

Conception de circuits numériques et architecture des ordinateurs

Conception de circuits numériques et architecture des ordinateurs Conception de circuits numériques et architecture des ordinateurs Frédéric Pétrot et Sébastien Viardot Année universitaire 2011-2012 Structure du cours C1 C2 C3 C4 C5 C6 C7 C8 C9 C10 C11 C12 Codage des

Plus en détail

On distingue deux grandes catégories de mémoires : mémoire centrale (appelée également mémoire interne)

On distingue deux grandes catégories de mémoires : mémoire centrale (appelée également mémoire interne) Mémoire - espace destiné a recevoir, conserver et restituer des informations à traiter - tout composant électronique capable de stocker temporairement des données On distingue deux grandes catégories de

Plus en détail

Chapitre 4 : Les mémoires

Chapitre 4 : Les mémoires 1. Introduction: Chapitre 4 : Les mémoires Nous savons que dans un ordinateur toutes les informations : valeur numérique, instruction, adresse, symbole (chiffre, lettre,... etc.) sont manipulées sous une

Plus en détail

Introduction à l architecture des ordinateurs. Adrien Lebre Décembre 2007

Introduction à l architecture des ordinateurs. Adrien Lebre Décembre 2007 Introduction à l architecture des ordinateurs Adrien Lebre Décembre 2007 Plan - partie 1 Vue d ensemble La carte mère Le processeur La mémoire principal Notion de bus Introduction à l architecture des

Plus en détail

Hiérarchie matériel dans le monde informatique. Architecture d ordinateur : introduction. Hiérarchie matériel dans le monde informatique

Hiérarchie matériel dans le monde informatique. Architecture d ordinateur : introduction. Hiérarchie matériel dans le monde informatique Architecture d ordinateur : introduction Dimitri Galayko Introduction à l informatique, cours 1 partie 2 Septembre 2014 Association d interrupteurs: fonctions arithmétiques élémentaires Elément «NON» Elément

Plus en détail

Leçon 1 : Les principaux composants d un ordinateur

Leçon 1 : Les principaux composants d un ordinateur Chapitre 2 Architecture d un ordinateur Leçon 1 : Les principaux composants d un ordinateur Les objectifs : o Identifier les principaux composants d un micro-ordinateur. o Connaître les caractéristiques

Plus en détail

Architecture des ordinateurs

Architecture des ordinateurs Architecture des ordinateurs Cours 4 5 novembre 2012 Archi 1/22 Micro-architecture Archi 2/22 Intro Comment assembler les différents circuits vus dans les cours précédents pour fabriquer un processeur?

Plus en détail

1 Architecture du cœur ARM Cortex M3. Le cœur ARM Cortex M3 sera présenté en classe à partir des éléments suivants :

1 Architecture du cœur ARM Cortex M3. Le cœur ARM Cortex M3 sera présenté en classe à partir des éléments suivants : GIF-3002 SMI et Architecture du microprocesseur Ce cours discute de l impact du design du microprocesseur sur le système entier. Il présente d abord l architecture du cœur ARM Cortex M3. Ensuite, le cours

Plus en détail

Présentation du système informatique utilisé et éléments d architecture des ordinateurs

Présentation du système informatique utilisé et éléments d architecture des ordinateurs TP informatique PTSI-PT Semestre 1 Lycée Gustave EIFFEL, BORDEAUX Présentation du système informatique utilisé et éléments d architecture des ordinateurs GL, SV, VB Objectif(s) Se familiariser aux principaux

Plus en détail

Cours Informatique 1. Monsieur SADOUNI Salheddine

Cours Informatique 1. Monsieur SADOUNI Salheddine Cours Informatique 1 Chapitre 2 les Systèmes Informatique Monsieur SADOUNI Salheddine Un Système Informatique lesystème Informatique est composé de deux parties : -le Matériel : constitué de l unité centrale

Plus en détail

Structure de base d un ordinateur

Structure de base d un ordinateur Structure de base d un ordinateur 1-Définition de l ordinateur L ordinateur est un appareil électronique programmable qui traite automatiquement les informations. Il est constitué de l unité centrale et

Plus en détail

TD Architecture des ordinateurs. Jean-Luc Dekeyser

TD Architecture des ordinateurs. Jean-Luc Dekeyser TD Architecture des ordinateurs Jean-Luc Dekeyser Fiche 1 Nombres de l informatique Exercice 1 Une entreprise désire réaliser la sauvegarde de ses données sur un site distant. Le volume de données à sauvegarder

Plus en détail

Architecture des calculateurs

Architecture des calculateurs Formation en Calcul Scientifique - LEM2I Architecture des calculateurs Violaine Louvet 1 1 Institut Camille jordan - CNRS 12-13/09/2011 Introduction Décoder la relation entre l architecture et les applications

Plus en détail

EPREUVE OPTIONNELLE d INFORMATIQUE CORRIGE

EPREUVE OPTIONNELLE d INFORMATIQUE CORRIGE EPREUVE OPTIONNELLE d INFORMATIQUE CORRIGE QCM Remarque : - A une question correspond au moins 1 réponse juste - Cocher la ou les bonnes réponses Barème : - Une bonne réponse = +1 - Pas de réponse = 0

Plus en détail

Assembleur. Faculté I&C, André Maurer, Claude Petitpierre

Assembleur. Faculté I&C, André Maurer, Claude Petitpierre Assembleur Faculté I&C, André Maurer, Claude Petitpierre INTRODUCTION Logiciel utilisé Faculté I&C, André Maurer, Claude Petitpierre MEMOIRE Mémoire Faculté I&C, André Maurer, Claude Petitpierre Mémoire

Plus en détail

THEME 1 : L ORDINATEUR ET SON ENVIRONNEMENT. Objectifs

THEME 1 : L ORDINATEUR ET SON ENVIRONNEMENT. Objectifs Architecture Matérielle des Systèmes Informatiques. S1 BTS Informatique de Gestion 1 ère année THEME 1 : L ORDINATEUR ET SON ENVIRONNEMENT Dossier 1 L environnement informatique. Objectifs Enumérer et

Plus en détail

IV- Comment fonctionne un ordinateur?

IV- Comment fonctionne un ordinateur? 1 IV- Comment fonctionne un ordinateur? L ordinateur est une alliance du hardware (le matériel) et du software (les logiciels). Jusqu à présent, nous avons surtout vu l aspect «matériel», avec les interactions

Plus en détail

Partie 1. Professeur : Haouati Abdelali. CPGE Lycée Omar Ibn Lkhattab - Meknès www.haouati.com haouaticpge@gmail.com

Partie 1. Professeur : Haouati Abdelali. CPGE Lycée Omar Ibn Lkhattab - Meknès www.haouati.com haouaticpge@gmail.com Partie 1 Professeur : Haouati Abdelali CPGE Lycée Omar Ibn Lkhattab - Meknès www.haouati.com haouaticpge@gmail.com Partie I : Généralités et algorithmique de base 1. Environnement matériel et logiciel

Plus en détail

Informatique Industrielle Année 2004-2005. Architecture des ordinateurs Note de cours T.Dumartin

Informatique Industrielle Année 2004-2005. Architecture des ordinateurs Note de cours T.Dumartin Informatique Industrielle Année 2004-2005 Architecture des ordinateurs Note de cours T.Dumartin 1 GENERALITES 5 1.1 INTRODUCTION 5 1.2 QU ENTEND-T-ON PAR ARCHITECTURE? 5 1.3 QU EST CE QU UN MICROPROCESSEUR?

Plus en détail

Ordinateurs, Structure et Applications

Ordinateurs, Structure et Applications Ordinateurs, Structure et Applications Cours 10, Les interruptions Etienne Tremblay Université Laval, Hiver 2012 Cours 10, p.1 Les interruptions du 8086 Une interruption interrompt l exécution séquentielle

Plus en détail

GPA770 Microélectronique appliquée Exercices série A

GPA770 Microélectronique appliquée Exercices série A GPA770 Microélectronique appliquée Exercices série A 1. Effectuez les calculs suivants sur des nombres binaires en complément à avec une représentation de 8 bits. Est-ce qu il y a débordement en complément

Plus en détail

Cours 3 : L'ordinateur

Cours 3 : L'ordinateur Cours 3 : L'ordinateur Abdelkrim Zehioua 2éme année Licence Gestion Faculté des sciences Économiques et sciences de Gestion Université A, Mehri - Constantine 2 Plan du cours 1.Définitions de l'ordinateur

Plus en détail

Base de l'informatique. Généralité et Architecture Le système d'exploitation Les logiciels Le réseau et l'extérieur (WEB)

Base de l'informatique. Généralité et Architecture Le système d'exploitation Les logiciels Le réseau et l'extérieur (WEB) Base de l'informatique Généralité et Architecture Le système d'exploitation Les logiciels Le réseau et l'extérieur (WEB) Généralité Comment fonctionne un ordinateur? Nous définirons 3 couches Le matériel

Plus en détail

Premiers pas sur l ordinateur Support d initiation

Premiers pas sur l ordinateur Support d initiation Premiers pas sur l ordinateur Support d initiation SOMMAIRE de la partie 1 : I. Structure générale de l ordinateur... 1. L Unité centrale... 2 2. Les différents périphériques... 5 II. Démarrer et arrêter

Plus en détail

Temps Réel. Jérôme Pouiller <j.pouiller@sysmic.org> Septembre 2011

Temps Réel. Jérôme Pouiller <j.pouiller@sysmic.org> Septembre 2011 Temps Réel Jérôme Pouiller Septembre 2011 Sommaire Problèmatique Le monotâche Le multitâches L ordonnanement Le partage de ressources Problèmatiques des OS temps réels J. Pouiller

Plus en détail

ELP 304 : Électronique Numérique. Cours 1 Introduction

ELP 304 : Électronique Numérique. Cours 1 Introduction ELP 304 : Électronique Numérique Cours 1 Introduction Catherine Douillard Dépt Électronique Les systèmes numériques : généralités (I) En électronique numérique, le codage des informations utilise deux

Plus en détail

Structure et fonctionnement d'un ordinateur : hardware

Structure et fonctionnement d'un ordinateur : hardware Structure et fonctionnement d'un ordinateur : hardware Introduction : De nos jours, l'ordinateur est considéré comme un outil indispensable à la profession de BDA, aussi bien dans les domaines de la recherche

Plus en détail

Architecture des ordinateurs Introduction à l informatique

Architecture des ordinateurs Introduction à l informatique Architecture des ordinateurs Introduction à l informatique 17 septembre 2004 1 2 3 4 5 6 Les interrupteurs... 0V 5V Ce sont des composants électroniques qui laissent pser un courant principal lorsque la

Plus en détail

Gestion de mémoire secondaire F. Boyer, Laboratoire Sardes Fabienne.Boyer@imag.fr

Gestion de mémoire secondaire F. Boyer, Laboratoire Sardes Fabienne.Boyer@imag.fr Gestion de mémoire secondaire F. Boyer, Laboratoire Sardes Fabienne.Boyer@imag.fr 1- Structure d un disque 2- Ordonnancement des requêtes 3- Gestion du disque - formatage - bloc d amorçage - récupération

Plus en détail

Vers du matériel libre

Vers du matériel libre Février 2011 La liberté du logiciel n est qu une partie du problème. Winmodems Modem traditionnel Bon fonctionnement Plus cher Electronique propriétaire Blob sur DSP intégré au modem Bien reçu par les

Plus en détail

Éléments d'architecture des ordinateurs

Éléments d'architecture des ordinateurs Chapitre 1 Éléments d'architecture des ordinateurs Machines take me by surprise with great frequency. Alan Turing 1.1 Le Hardware Avant d'attaquer la programmation, il est bon d'avoir quelques connaissances

Plus en détail

Certificat Informatique et internet Niveau 1 TD D1. Domaine 1 : Travailler dans un environnement numérique évolutif. 1. Généralités : Filière

Certificat Informatique et internet Niveau 1 TD D1. Domaine 1 : Travailler dans un environnement numérique évolutif. 1. Généralités : Filière Certificat Informatique et internet Niveau 1 Filière TD D1 Domaine 1 : Travailler dans un environnement numérique évolutif Nom N étudiant 1. Généralités : 1.1. Un ordinateur utilise des logiciels (aussi

Plus en détail

CH.3 SYSTÈMES D'EXPLOITATION

CH.3 SYSTÈMES D'EXPLOITATION CH.3 SYSTÈMES D'EXPLOITATION 3.1 Un historique 3.2 Une vue générale 3.3 Les principaux aspects Info S4 ch3 1 3.1 Un historique Quatre générations. Préhistoire 1944 1950 ENIAC (1944) militaire : 20000 tubes,

Plus en détail

MODULE I1. Plan. Introduction. Introduction. Historique. Historique avant 1969. R&T 1ère année. Sylvain MERCHEZ

MODULE I1. Plan. Introduction. Introduction. Historique. Historique avant 1969. R&T 1ère année. Sylvain MERCHEZ MODULE I1 Plan Chapitre 1 Qu'est ce qu'un S.E? Introduction Historique Présentation d'un S.E Les principaux S.E R&T 1ère année Votre environnement Sylvain MERCHEZ Introduction Introduction Rôles et fonctions

Plus en détail

ASR1 TD7 : Un microprocesseur RISC 16 bits

ASR1 TD7 : Un microprocesseur RISC 16 bits {Â Ö Ñ º ØÖ Ý,È ØÖ ºÄÓ Ù,Æ ÓÐ ºÎ ÝÖ Ø¹ ÖÚ ÐÐÓÒ} Ò ¹ÐÝÓÒº Ö ØØÔ»»Ô Ö Óº Ò ¹ÐÝÓÒº Ö» Ö Ñ º ØÖ Ý»¼ Ö½» ASR1 TD7 : Un microprocesseur RISC 16 bits 13, 20 et 27 novembre 2006 Présentation générale On choisit

Plus en détail

Chapitre V : La gestion de la mémoire. Hiérarchie de mémoires Objectifs Méthodes d'allocation Simulation de mémoire virtuelle Le mapping

Chapitre V : La gestion de la mémoire. Hiérarchie de mémoires Objectifs Méthodes d'allocation Simulation de mémoire virtuelle Le mapping Chapitre V : La gestion de la mémoire Hiérarchie de mémoires Objectifs Méthodes d'allocation Simulation de mémoire virtuelle Le mapping Introduction Plusieurs dizaines de processus doivent se partager

Plus en détail

Programmation C. Apprendre à développer des programmes simples dans le langage C

Programmation C. Apprendre à développer des programmes simples dans le langage C Programmation C Apprendre à développer des programmes simples dans le langage C Notes de cours sont disponibles sur http://astro.u-strasbg.fr/scyon/stusm (attention les majuscules sont importantes) Modalités

Plus en détail

qwertyuiopasdfghjklzxcvbnmqwerty uiopasdfghjklzxcvbnmqwertyuiopasd fghjklzxcvbnmqwertyuiopasdfghjklzx cvbnmqwertyuiopasdfghjklzxcvbnmq

qwertyuiopasdfghjklzxcvbnmqwerty uiopasdfghjklzxcvbnmqwertyuiopasd fghjklzxcvbnmqwertyuiopasdfghjklzx cvbnmqwertyuiopasdfghjklzxcvbnmq qwertyuiopasdfghjklzxcvbnmqwerty uiopasdfghjklzxcvbnmqwertyuiopasd fghjklzxcvbnmqwertyuiopasdfghjklzx COURS DE PERFECTIONNEMENT cvbnmqwertyuiopasdfghjklzxcvbnmq L ordinateur et Windows 2014-2015 wertyuiopasdfghjklzxcvbnmqwertyui

Plus en détail

La carte à puce. Jean-Philippe Babau

La carte à puce. Jean-Philippe Babau La carte à puce Jean-Philippe Babau Département Informatique INSA Lyon Certains éléments de cette présentation sont issus de documents Gemplus Research Group 1 Introduction Carte à puce de plus en plus

Plus en détail

REALISATION d'un. ORDONNANCEUR à ECHEANCES

REALISATION d'un. ORDONNANCEUR à ECHEANCES REALISATION d'un ORDONNANCEUR à ECHEANCES I- PRÉSENTATION... 3 II. DESCRIPTION DU NOYAU ORIGINEL... 4 II.1- ARCHITECTURE... 4 II.2 - SERVICES... 4 III. IMPLÉMENTATION DE L'ORDONNANCEUR À ÉCHÉANCES... 6

Plus en détail

Matériel & Logiciels (Hardware & Software)

Matériel & Logiciels (Hardware & Software) CHAPITRE 2 HARDWARE & SOFTWARE P. 1 Chapitre 2 Matériel & Logiciels (Hardware & Software) 2.1 Matériel (Hardware) 2.1.1 Présentation de l'ordinateur Un ordinateur est un ensemble de circuits électronique

Plus en détail

PRÉCISIONS ET PRÉALABLES

PRÉCISIONS ET PRÉALABLES Par MH. Moustaine Programme du cours A. Architecture interne de l ordinateur. B. Analyse des différents produits disponibles. C. processus de traitement des données du système d exploitation. i D. Installation

Plus en détail

Organisation des Ordinateurs

Organisation des Ordinateurs Organisation des Ordinateurs Bernard Boigelot E-mail : boigelot@montefiore.ulg.ac.be URL : http://www.montefiore.ulg.ac.be/~boigelot/ http://www.montefiore.ulg.ac.be/~boigelot/cours/org/ 1 Chapitre 1 Les

Plus en détail

Tests de performance du matériel

Tests de performance du matériel 3 Tests de performance du matériel Après toute la théorie du dernier chapitre, vous vous demandez certainement quelles sont les performances réelles de votre propre système. En fait, il y a plusieurs raisons

Plus en détail

Guide Mémoire NETRAM

Guide Mémoire NETRAM Guide Mémoire NETRAM Types de mémoires vives On distingue généralement deux grandes catégories de mémoires vives : Mémoires dynamiques (DRAM, Dynamic Random Access Module), peu coûteuses. Elles sont principalement

Plus en détail

Conception de circuits numériques et architecture des ordinateurs

Conception de circuits numériques et architecture des ordinateurs Conception de circuits numériques et architecture des ordinateurs Frédéric Pétrot Année universitaire 2014-2015 Structure du cours C1 C2 C3 C4 C5 C6 C7 C8 C9 C10 C11 Codage des nombres en base 2, logique

Plus en détail

6 - Le système de gestion de fichiers F. Boyer, UJF-Laboratoire Lig, Fabienne.Boyer@imag.fr

6 - Le système de gestion de fichiers F. Boyer, UJF-Laboratoire Lig, Fabienne.Boyer@imag.fr 6 - Le système de gestion de fichiers F. Boyer, UJF-Laboratoire Lig, Fabienne.Boyer@imag.fr Interface d un SGF Implémentation d un SGF Gestion de la correspondance entre la structure logique et la structure

Plus en détail

BTS-DSI. Structure et technologie des composantes d ordinateurs

BTS-DSI. Structure et technologie des composantes d ordinateurs Lycée lissan eddine ibn elkhatib laayoune BTS-DSI 2013/2014 Module 15 Structure et technologie des composantes d ordinateurs SUPPORT DE COURS Pr H.Laaraj Sommaire 1. INTRODUCTION A LA NOTION D ORDINATEUR...

Plus en détail

TIC. Tout d abord. Objectifs. L information et l ordinateur. TC IUT Montpellier

TIC. Tout d abord. Objectifs. L information et l ordinateur. TC IUT Montpellier Tout d abord TIC TC IUT Montpellier Nancy Rodriguez Destruel Dans la salle de cours (et partout ailleurs ) : Ponctualité et assiduité exigées Ne pas manger, ni boire, ni dormir, ni travailler à autre chose

Plus en détail

Informatique pour scientifiques hiver 2003-2004. Plan général Systèmes d exploitation

Informatique pour scientifiques hiver 2003-2004. Plan général Systèmes d exploitation Informatique pour scientifiques hiver 2003-2004 27 Janvier 2004 Systèmes d exploitation - partie 3 (=OS= Operating Systems) Dr. Dijana Petrovska-Delacrétaz DIVA group, DIUF 1 Plan général Systèmes d exploitation

Plus en détail

EX4C Systèmes d exploitation. Séance 14 Structure des stockages de masse

EX4C Systèmes d exploitation. Séance 14 Structure des stockages de masse EX4C Systèmes d exploitation Séance 14 Structure des stockages de masse Sébastien Combéfis mardi 3 mars 2015 Ce(tte) œuvre est mise à disposition selon les termes de la Licence Creative Commons Attribution

Plus en détail

Un ordinateur, c est quoi?

Un ordinateur, c est quoi? B-A.BA Un ordinateur, c est quoi? Un ordinateur, c est quoi? Un ordinateur est une machine dotée d'une unité de traitement lui permettant d'exécuter des programmes enregistrés. C'est un ensemble de circuits

Plus en détail

DU BINAIRE AU MICROPROCESSEUR - D ANGELIS CIRCUITS CONFIGURABLES NOTION DE PROGRAMMATION

DU BINAIRE AU MICROPROCESSEUR - D ANGELIS CIRCUITS CONFIGURABLES NOTION DE PROGRAMMATION 145 NOTION DE PROGRAMMATION 1/ Complétons notre microprocesseur Nous avons, dans les leçons précédentes décrit un microprocesseur théorique, cependant il s inspire du 6800, premier microprocesseur conçu

Plus en détail

Prise en main. Prise en main - 0

Prise en main. Prise en main - 0 Prise en main 0404 Prise en main - 0 1- Introduction Creative Professional Merci d avoir choisi le Digital Audio System 0404 d E-MU. Nous avons conçu ce produit E-MU pour qu il soit logique, intuitif et

Plus en détail

Gestion répartie de données - 1

Gestion répartie de données - 1 Gestion répartie de données - 1 Sacha Krakowiak Université Joseph Fourier Projet Sardes (INRIA et IMAG-LSR) http://sardes.inrialpes.fr/~krakowia Gestion répartie de données Plan de la présentation Introduction

Plus en détail

Comme chaque ligne de cache a 1024 bits. Le nombre de lignes de cache contenu dans chaque ensemble est:

Comme chaque ligne de cache a 1024 bits. Le nombre de lignes de cache contenu dans chaque ensemble est: Travaux Pratiques 3. IFT 1002/IFT 1005. Structure Interne des Ordinateurs. Département d'informatique et de génie logiciel. Université Laval. Hiver 2012. Prof : Bui Minh Duc. Tous les exercices sont indépendants.

Plus en détail

Spécifications détaillées

Spécifications détaillées Hewlett-Packard HP ProLiant DL380 G5 Storage Server 1.8TB SAS Model - NAS - 1.8 To - montage en rack - Serial ATA-150 / SAS - DD 36 Go x 2 + 300 Go x 6 - DVD±RW x 1 - RAID 0, 1, 5, 6 - Gigabit Ethernet

Plus en détail

PROGRAMME DU CONCOURS DE RÉDACTEUR INFORMATICIEN

PROGRAMME DU CONCOURS DE RÉDACTEUR INFORMATICIEN PROGRAMME DU CONCOURS DE RÉDACTEUR INFORMATICIEN 1. DÉVELOPPEMENT D'APPLICATION (CONCEPTEUR ANALYSTE) 1.1 ARCHITECTURE MATÉRIELLE DU SYSTÈME INFORMATIQUE 1.1.1 Architecture d'un ordinateur Processeur,

Plus en détail

Mesure de performances. [Architecture des ordinateurs, Hennessy & Patterson, 1996]

Mesure de performances. [Architecture des ordinateurs, Hennessy & Patterson, 1996] Mesure de performances [Architecture des ordinateurs, Hennessy & Patterson, 1996] Croissance des performances des microprocesseurs Avant le milieu des années 80, le gain dépendait de la technologie. Après,

Plus en détail

CHAPITRE 4 LA MÉMOIRE DE L'ORDINATEUR

CHAPITRE 4 LA MÉMOIRE DE L'ORDINATEUR CHAPITRE 4 LA MÉMOIRE DE L'ORDINATEUR 1. Les différents types de mémoires Un ordinateur est composé de plusieurs types de mémoire. À première vue, on peut d'abord distinguer la mémoire principale à l'interne

Plus en détail

La mémoire. Un ordinateur. L'octet. Le bit

La mémoire. Un ordinateur. L'octet. Le bit Introduction à l informatique et à la programmation Un ordinateur Un ordinateur est une machine à calculer composée de : un processeur (ou unité centrale) qui effectue les calculs une mémoire qui conserve

Plus en détail

SGM. Master S.T.S. mention informatique, première année. Isabelle Puaut. Septembre 2011. Université de Rennes I - IRISA

SGM. Master S.T.S. mention informatique, première année. Isabelle Puaut. Septembre 2011. Université de Rennes I - IRISA SGM Master S.T.S. mention informatique, première année Isabelle Puaut Université de Rennes I - IRISA Septembre 2011 Isabelle Puaut SGM 2 / 1 Organisation de l enseignement Semestre 1 : processus, synchronisation

Plus en détail

Architecture des ordinateurs

Architecture des ordinateurs Décoder la relation entre l architecture et les applications Violaine Louvet, Institut Camille Jordan CNRS & Université Lyon 1 Ecole «Découverte du Calcul» 2013 1 / 61 Simulation numérique... Physique

Plus en détail

Choix d'un serveur. Choix 1 : HP ProLiant DL380 G7 Base - Xeon E5649 2.53 GHz

Choix d'un serveur. Choix 1 : HP ProLiant DL380 G7 Base - Xeon E5649 2.53 GHz Choix d'un serveur Vous êtes responsable informatique d'une entreprise. Vous devez faire un choix pour l'achat d'un nouveau serveur. Votre prestataire informatique vous propose les choix ci-dessous Vous

Plus en détail

Rappels d architecture

Rappels d architecture Assembleur Rappels d architecture Un ordinateur se compose principalement d un processeur, de mémoire. On y attache ensuite des périphériques, mais ils sont optionnels. données : disque dur, etc entrée

Plus en détail

GESTION DE LA MEMOIRE

GESTION DE LA MEMOIRE GESTION DE LA MEMOIRE MEMOIRE CENTRALE (MC) MEMOIRE SECONDAIRE (MS) 1. HIÉRARCHIE ET DIFFÉRENTS TYPES DE MÉMOIRE... 2 2. MÉMOIRE CACHE... 3 3. MODÈLE D'ALLOCATION CONTIGUË (MC OU MS)... 5 3.1. STRATÉGIE

Plus en détail

Architecture des Ordinateurs. Partie II:

Architecture des Ordinateurs. Partie II: Architecture des Ordinateurs Partie II: Le port Floppy permet le raccordement du lecteur de disquette àla carte mère. Remarque: Le lecteur de disquette a disparu il y a plus de 6 ans, son port suivra.

Plus en détail

Cours 1 : Introduction Ordinateurs - Langages de haut niveau - Application

Cours 1 : Introduction Ordinateurs - Langages de haut niveau - Application Université de Provence Licence Math-Info Première Année V. Phan Luong Algorithmique et Programmation en Python Cours 1 : Introduction Ordinateurs - Langages de haut niveau - Application 1 Ordinateur Un

Plus en détail

Architecture matérielle des systèmes informatiques

Architecture matérielle des systèmes informatiques Architecture matérielle des systèmes informatiques IDEC, Renens. Version novembre 2003. Avertissement : ce support de cours n est pas destiné à l autoformation et doit impérativement être complété par

Plus en détail

Lecteur de carte à puce LCPM1 SOMMAIRE

Lecteur de carte à puce LCPM1 SOMMAIRE SOMMAIRE I Différents types de cartes p2 1.1- Carte magnétique 1.2- Carte II Les cartes s. p3 2.1- Introduction 2.2- Constitution III Les familles de cartes s. p6 3.1- Les cartes à mémoire simple 3.2-

Plus en détail

Compilation (INF 564)

Compilation (INF 564) Présentation du cours Le processeur MIPS Programmation du MIPS 1 Compilation (INF 564) Introduction & architecture MIPS François Pottier 10 décembre 2014 Présentation du cours Le processeur MIPS Programmation

Plus en détail

Techniques de stockage. Techniques de stockage, P. Rigaux p.1/43

Techniques de stockage. Techniques de stockage, P. Rigaux p.1/43 Techniques de stockage Techniques de stockage, P. Rigaux p.1/43 Techniques de stockage Contenu de ce cours : 1. Stockage de données. Supports, fonctionnement d un disque, technologie RAID 2. Organisation

Plus en détail

Structure et Technologie des Ordinateurs A. Oumnad

Structure et Technologie des Ordinateurs A. Oumnad Structure et Technologie des Ordinateurs par A. OUMNAD Structure et Technologie des Ordinateurs A. Oumnad Structure et Technologie des Ordinateurs par A. OUMNAD 2 Plan du cours I Introduction...4 I. Architecture

Plus en détail

IFT1215 Introduction aux systèmes informatiques

IFT1215 Introduction aux systèmes informatiques Introduction aux circuits logiques de base IFT25 Architecture en couches Niveau 5 Niveau 4 Niveau 3 Niveau 2 Niveau Niveau Couche des langages d application Traduction (compilateur) Couche du langage d

Plus en détail

Les liaisons SPI et I2C

Les liaisons SPI et I2C DAMÉCOURT BENJAMIN AVRIL 28 Liaisons synchrones Les liaisons SPI et I2C Face arrière d un imac : trois ports USB, un port Firewire 4 et un port Firewire 8 CHRONOLOGIE ANNÉES 7 La liaison SPI et la création

Plus en détail

Initiation au HPC - Généralités

Initiation au HPC - Généralités Initiation au HPC - Généralités Éric Ramat et Julien Dehos Université du Littoral Côte d Opale M2 Informatique 2 septembre 2015 Éric Ramat et Julien Dehos Initiation au HPC - Généralités 1/49 Plan du cours

Plus en détail

TAI049 Utiliser la virtualisation en assistance et en dépannage informatique TABLE DES MATIERES

TAI049 Utiliser la virtualisation en assistance et en dépannage informatique TABLE DES MATIERES TAI049 Utiliser la virtualisation en assistance et en dépannage informatique TABLE DES MATIERES 1 DECOUVERTE DE LA VIRTUALISATION... 2 1.1 1.2 CONCEPTS, PRINCIPES...2 UTILISATION...2 1.2.1 Formation...2

Plus en détail

Logique séquentielle

Logique séquentielle Bascules et logique séquentielle aniel Etiemble de@lri.fr Logique séquentielle Logique séquentielle Le système a des «états» ans un système séquentiel Éléments de mémorisation Les sorties dépendent des

Plus en détail

Le Programme SYGADE SYGADE 5.2. Besoins en équipement, logiciels et formation. UNCTAD/GID/DMFAS/Misc.6/Rev.7

Le Programme SYGADE SYGADE 5.2. Besoins en équipement, logiciels et formation. UNCTAD/GID/DMFAS/Misc.6/Rev.7 CONFÉRENCE DES NATIONS UNIES SUR LE COMMERCE ET LE DÉVELOPPEMENT UNITED NATIONS CONFERENCE ON TRADE AND DEVELOPMENT Le Programme SYGADE SYGADE 5.2 Besoins en équipement, logiciels et formation UNCTAD/GID/DMFAS/Misc.6/Rev.7

Plus en détail

Introduction à l informatique en BCPST

Introduction à l informatique en BCPST Introduction à l informatique en BCPST Alexandre Benoit BCPST L informatique en BCPST «L enseignement de l informatique en classes préparatoires de la filière BCPST a pour objectif d introduire puis de

Plus en détail

Fiche produit FUJITSU ETERNUS DX200F Baie de stockage Flash

Fiche produit FUJITSU ETERNUS DX200F Baie de stockage Flash Fiche produit FUJITSU ETERNUS DX200F Baie de stockage Flash Fiche produit FUJITSU ETERNUS DX200F Baie de stockage Flash Des performances haut de gamme à un prix avantageux ETERNUS DX : le stockage centré

Plus en détail

Spécifications détaillées

Spécifications détaillées Hewlett Packard HP ProLiant ML110 G5 Serveur micro tour 4U 1 voie 1 x Xeon E3110 / 3 GHz RAM 1 Go Disque dur 1 x 250 Go DVD RW Gigabit Ethernet Moniteur : aucun(e) Le serveur HP ProLiant ML110 G5 offre

Plus en détail

Généralités sur les systèmes d Exploitation

Généralités sur les systèmes d Exploitation Généralités sur les systèmes d Exploitation Jean-Philippe PERNIN Université Stendhal Département Informatique Pédagogique Bureau I 113 Mél. : Jean-Philippe.Pernin@u-grenoble3.fr Jean-Philippe Pernin -

Plus en détail

L ORDINATEUR. Les composants. La carte mère. Le processeur. Fréquence

L ORDINATEUR. Les composants. La carte mère. Le processeur. Fréquence L ORDINATEUR Les composants La carte mère Elle se trouve au centre de l'ordinateur et connecte tous les composants PC. La carte mère contient les connexions pour le processeur, la mémoire et les unités

Plus en détail

Parallélisme et Répartition

Parallélisme et Répartition Parallélisme et Répartition Master Info Françoise Baude Université de Nice Sophia-Antipolis UFR Sciences Département Informatique baude@unice.fr web du cours : deptinfo.unice.fr/~baude Septembre 2009 Chapitre

Plus en détail

Processus! programme. DIMA, Systèmes Centralisés (Ph. Mauran) " Processus = suite d'actions = suite d'états obtenus = trace

Processus! programme. DIMA, Systèmes Centralisés (Ph. Mauran)  Processus = suite d'actions = suite d'états obtenus = trace Processus 1) Contexte 2) Modèles de Notion de Points de vue Modèle fourni par le SX Opérations sur les 3) Gestion des Représentation des Opérations 4) Ordonnancement des Niveaux d ordonnancement Ordonnancement

Plus en détail

A -Systèmes de fichiers 1 - FAT vs NTFS

A -Systèmes de fichiers 1 - FAT vs NTFS A -Systèmes de fichiers 1 - FAT vs NTFS -------------------------------------------- PETIT HISTORIQUE WINDOWS Windows 3.1 (considéré comme juste une interface, une sur couche sur DOS) FAT 16 Windows 95

Plus en détail

Ed 03/95 PAQ 1530 NON URGENTE (INFO PRODUIT) TEMPORAIRE DEFINITIVE

Ed 03/95 PAQ 1530 NON URGENTE (INFO PRODUIT) TEMPORAIRE DEFINITIVE d 03/95 PAQ 1530 COMMUNICAION CHNIQU N C0351 Date : 18-09-2002 OmniPCX 4400 Nb de pages : 16 URGN (FASH PRODUI) NON URGN (INFO PRODUI) MPORAIR DFINIIV OBJ : CAR GPA2 Veuillez trouver ci-joint la documentation

Plus en détail

et dépannage de PC Configuration Sophie Lange Guide de formation avec exercices pratiques Préparation à la certification A+

et dépannage de PC Configuration Sophie Lange Guide de formation avec exercices pratiques Préparation à la certification A+ Guide de formation avec exercices pratiques Configuration et dépannage de PC Préparation à la certification A+ Sophie Lange Troisième édition : couvre Windows 2000, Windows XP et Windows Vista Les Guides

Plus en détail

VIII- Circuits séquentiels. Mémoires

VIII- Circuits séquentiels. Mémoires 1 VIII- Circuits séquentiels. Mémoires Maintenant le temps va intervenir. Nous avions déjà indiqué que la traversée d une porte ne se faisait pas instantanément et qu il fallait en tenir compte, notamment

Plus en détail

Contraintes, particularités. 1. Généralités... 2. 2. Gestion de la mémoire... 2. a. Type des variables et constantes... 2

Contraintes, particularités. 1. Généralités... 2. 2. Gestion de la mémoire... 2. a. Type des variables et constantes... 2 C Embarque Contraintes, particularités 1. Généralités... 2 2. Gestion de la mémoire... 2 a. Type des variables et constantes.... 2 b. Variables locales ou globales... 3 3. Interruptions... 5 4. Imposer

Plus en détail

Ordinateurs, Structure et Applications

Ordinateurs, Structure et Applications Ordinateurs, Structure et Applications Cours 19, Le USB Etienne Tremblay Université Laval, Hiver 2012 Cours 19, p.1 USB signifie Universal Serial Bus USB Le USB a été conçu afin de remplacer le port série

Plus en détail

ARCHITECTURE ET FONCTIONNEMENT

ARCHITECTURE ET FONCTIONNEMENT ORAL PROBATOIRE OPTION SYSTEMES D INFORMATION ARCHITECTURE ET FONCTIONNEMENT D UNE CARTE MERE POUR PC PETITGAND Gérald Session 2004 Sommaire Introduction 3 1. Architecture d une carte mère 4 1.1. L'évolution

Plus en détail

Exécutif temps réel Pierre-Yves Duval (cppm)

Exécutif temps réel Pierre-Yves Duval (cppm) Exécutif temps réel Pierre-Yves Duval (cppm) Ecole d informatique temps réel - La Londes les Maures 7-11 Octobre 2002 Plan Exécutif Tâches Evénements et synchronisation Partage de ressources Communications

Plus en détail

Architecture des ordinateurs. Robin FERCOQ fercoq@ece.fr

Architecture des ordinateurs. Robin FERCOQ fercoq@ece.fr Architecture des ordinateurs Robin FERCOQ fercoq@ece.fr 1 Objectifs Introduction aux systèmes informatiques Introduction aux fondamentaux de l'informatique Codage binaire de l'information, quantité d'info.

Plus en détail

ARDUINO DOSSIER RESSOURCE POUR LA CLASSE

ARDUINO DOSSIER RESSOURCE POUR LA CLASSE ARDUINO DOSSIER RESSOURCE POUR LA CLASSE Sommaire 1. Présentation 2. Exemple d apprentissage 3. Lexique de termes anglais 4. Reconnaître les composants 5. Rendre Arduino autonome 6. Les signaux d entrée

Plus en détail

Ordinateurs, Structure et Applications

Ordinateurs, Structure et Applications Ordinateurs, Structure et Applications Cours 13, Le DOS Etienne Tremblay Université Laval, Hiver 2011 Cours 13, p.1 Le DOS DOS signifie Disk Operating System Le DOS est un système d exploitation. Il existe

Plus en détail

I00 Éléments d architecture

I00 Éléments d architecture I00 I Exemples d ordinateur Pour les informaticiens, différentes machines de la vie courante sont des ordinateurs : par exemple les ordinateurs portables, les ordinateurs fixes, mais aussi les supercalculateurs,

Plus en détail

Généralités sur les systèmes d Exploitation

Généralités sur les systèmes d Exploitation Généralités sur les systèmes d Exploitation Jean-Philippe PERNIN Université Stendhal Département Informatique Pédagogique Bureau I 113 Mél. : Jean-Philippe.Pernin@u-grenoble3.fr Jean-Philippe Pernin -

Plus en détail