Architecture FPGA Outils avancés pour tous niveaux



Documents pareils
Conception et Intégration de Systèmes Critiques

ÉCOLE POLYTECHNIQUE DE MONTRÉAL. Département de Génie Électrique. La technologie de TEXAS INSTRUMENTS DSP pour le cours Analyse des Signaux ELE2700

1. PRESENTATION DU PROJET

Quoi de neuf en LabVIEW FPGA 2010?

Extrait des Exploitations Pédagogiques

2015 // des formations. programme. Retrouvez toutes ces informations sur enseirb-matmeca.bordeaux-inp.fr

QUESTION 1 {2 points}

Les systèmes embarqués Introduction. Richard Grisel Professeur des Universités Université de Rouen Nacer Abouchi Professeur ESCPE Lyon

Information. BASES LITTERAIRES Etre capable de répondre à une question du type «la valeur trouvée respecte t-elle le cahier des charges?

UN PROCEDE DE SUPERVISION ET TELESURVEILLANCE A DISTANCE : UN OUTIL PEDAGOGIQUE FAVORISANT L INITIATION AU TRAVAIL DE GROUPE

Formation des enseignants. Le tensiomètre. Objet technique modélisable issu de l environnement des élèves

Modules d automatismes simples

MAC-TC: programmation d un plate forme DSP-FPGA

Cours 7 : Programmation d une chaîne d acquisition

Système à enseigner : Robot M.I.M.I. MultipodeIntelligent à Mobilité Interactive. Version 1.0

Projet de synthèse de l'électronique analogique : réalisation d'une balance à jauges de contrainte

Conception Electronique (CEL) Prof. Maurizio Tognolini

Projet audio. Analyse des Signaux ELE2700

Quoi de neuf en contrôle/commande et systèmes embarqués (RIO, WSN...)?

Présentation formations SPI/EEA

Comment paramétrer et sauvegarder les configurations d Altium Designer?

1 Démarrer L écran Isis La boite à outils Mode principal Mode gadget Mode graphique...

ALTIUM DESIGNER. Solution unifiée de développement de produits électroniques, intégrée avec la mécanique

SIN-FPGA DESCRIPTION PAR SCHEMA

Formation et diplômes en Electronique et en Informatique

Potentiels de la technologie FPGA dans la conception des systèmes. Avantages des FPGAs pour la conception de systèmes optimisés

TER Licence 3 Electronique des Télécoms Transmission GSM

Les solutions National Instruments pour le Model In-The-Loop (MIL) et le prototypage rapide (RCP)

Conférence sur les microcontroleurs.


Solution Vidéo Surveillance

ELP 304 : Électronique Numérique. Cours 1 Introduction

Catalogue - Formation en «électropneumatique et systèmes automatisés process control system»

Les parcours S4 traditionnels : Robotique, Radio Communication Numérique, Traitement de l information. Informatique Industrielle

Réglage, paramétrage, contrôle, modification. Salle de conférence.

WEBVIEW. Serveur Web embarqué dans DIRIS G NOTICE D UTILISATION. com/webview_ software

Robot WIFIBOT Lab V4. Lab V4.

Document de formation pour une solution complète d automatisation Totally Integrated Automation (T I A)

EMETTEUR ULB. Architectures & circuits. Ecole ULB GDRO ESISAR - Valence 23-27/10/2006. David MARCHALAND STMicroelectronics 26/10/2006

Twincat PLC Temperature Controller. Régulation de Température à l aide de TwinCAT PLC.

Transmission d informations sur le réseau électrique

NOTRE OFFRE GLOBALE STAGES INTER-ENTREPRISES

Pierre De Dobbeleer. Spécialiste Project Management, Electronique, Réseaux et télécommunications

Triphasé 400 V kw. Variateur multifonction haute performance

Figure 1 : représentation des différents écarts

SoMachine. Solution logicielle pour votre architecture Machine Atelier de découverte. SoMachine

Étude des Corrélations entre Paramètres Statiques et Dynamiques des Convertisseurs Analogique-Numérique en vue d optimiser leur Flot de Test

CONVERTISSEURS NA ET AN

Console de supervision en temps réel du réseau de capteurs sans fil Beanair

Equipement. électronique

SOMMAIRE. La simulation numérique chez PSA. Organisation PSA Les applications CFD CFD Process UGM ENSIGHT - LA CFD CHEZ PSA 27/09/2010 2

ENREGISTREUR DE TEMPERATURE

MT-703. Surveillance de niveau par sonde résistive TELEMETRIE PAR MODULE GSM CONFIGURATION ET UTILISATION

Gestion des événements

Monitoring continu et gestion optimale des performances énergétiques des bâtiments

[ Rencontres Mondiales du Logiciel Libre 2011 Lundi 11 juillet 2011 ] Introduction à l'open Hardware Auteur : Dr Ir Robert Viseur

TABLE DES MATIÈRES 1. DÉMARRER ISIS 2 2. SAISIE D UN SCHÉMA 3 & ' " ( ) '*+ ", ##) # " -. /0 " 1 2 " 3. SIMULATION 7 " - 4.

Encoder Encoder 1 sur 15. Codification fil par étage 15 étages max. + 2 flèches + signal de mouvement. Raccordements 0.1 mm²...

11 Février 2014 Paris nidays.fr

FORMATION ÉOLIENNE LEADERSHIP DE LA CHAMPAGNE-ARDENNE

Licence Professionnelle Réseaux & Télécommunications Spécialité ISTI

Mini_guide_Isis.pdf le 23/09/2001 Page 1/14

CAP CAMION A ASSISTANCE PNEUMATIQUE

INSTRUMENTS DE MESURE SOFTWARE. Management software for remote and/or local monitoring networks

Variateurs de vitesse

MEMOIRES MAGNETIQUES A DISQUES RIGIDES

Chapitre 1 : Introduction aux méthodologies de conception et de vérification pour SE

0 20mV; 0 40mV; 0 80mV; 0 160mV; 0 320mV; 0 640mV; 0 1,28V; 0 2,56V 0 5V; 0 10V

Virtual Universe Pro V2 Manuel de l Utilisateur (C) 2013 IRAI. Manuel Utilisateur VIRTUAL UNIVERSE PRO V2 Page 1

Synergies entre Artisan Studio et outils PLM

OFFRES DE SERVICES SDS CONSULTING

L'ELECTRONIQUE AU. Innov'Day PEP Bellignat 24 Avril 2014

Prototypage électronique

Projet M1 Année scolaire 2013/2014

INTRODUCTION A L ELECTRONIQUE NUMERIQUE ECHANTILLONNAGE ET QUANTIFICATION I. ARCHITECTURE DE L ELECRONIQUE NUMERIQUE

12 applications pour maîtriser le PICBASIC PB-3B - Gérard GUIHÉNEUF. Elektor-Publitronic ISBN :

En recherche, simuler des expériences : Trop coûteuses Trop dangereuses Trop longues Impossibles

MAGTROL. Frein à courant de Foucault haute vitesse WB 23. WB 23 Fiche Technique CARACTÉRISTIQUES COMMANDE PAR PC DESCRIPTION

11 Février 2014 Paris nidays.fr. france.ni.com

LABO 5 ET 6 TRAITEMENT DE SIGNAL SOUS SIMULINK

VERTELIS SUITE Suite logicielle pour optimiser votre performance énergétique

Fiche technique CPU 314SC/DPM (314-6CG13)

Cours CSE-2009 MSR - Corrigé TEST 1

Simulation à bord d un véhicule moteur existant

La veille concurrentielle est une arme.

Notice d'utilisation Afficheur multifonctions et système d'évaluation FX 360. Mode/Enter

PRESENTATION RESSOURCES. Christian Dupaty BTS Systèmes Numériques Lycée Fourcade Gardanne Académie d Aix Marseille

Paiement sécurisé sur Internet. Tableau de bord Commerçant

Une solution logicielle complète et couvrant tous les niveaux d enseignement des techniciens et des ingénieurs

domovea alarme tebis

Mini_guide_Isis_v6.doc le 10/02/2005 Page 1/15

NOTICE D UTILISATION ET D INSTALLATION. de la CARTE MONITORING DE RELAIS «IO-MONITOR»

Instruments de mesure

Charge Force Poids. Highly Reliable Systems for Measuring and Monitoring Load, Force and Weight

AMICUS 18 (2ème partie) 4) Présentation du logiciel Amicus IDE

Master4Light. Caractérisation Optique et Electrique des Sources Lumineuses. Equipement 2-en-1 : source de courant et spectrophotomètre

LA MESURE INDUSTRIELLE

Guide abrégé ME301-2

Compteurs d Energie Thermique & Systèmes associés

Transcription:

Colloque GEII 2007 Architecture FPGA Outils avancés pour tous niveaux Vincent Frick IUT de Haguenau ULP Strasbourg

Plan Mise en œuvre de FPGA : approche de base Électronique numérique en DUT Exemples de Travaux Pratiques Utilisation des outils avancés VHDL Matlab et Simulink, utilisation des bibliothèques avancées, prototypage VHDL-AMS, prototypage 2

Mise en œuvre des FPGA : Approche de base Électronique numérique en 1 ère année ( séances Travaux sur platines (3 Familiarisation avec les composants physiques Prise de conscience des grandeurs physiques Prise en main d'un logiciel de CAO (Quartus ) (dès ( séance la 4ème Synthèse systématique sur cible FPGA : concret Accès plus rapide à des fonctions plus complexes Double approche CAO Hardware : confusion 3

Mise en œuvre des FPGA : Approche de base Exemple : Commande de moteur pas-à-pas Logique de contrôle Réalisation câblée (séance 3) Compteur, EEPROM, décodeur, etc... Implantation sur cible FPGA (Cyclone 1C20) Simulation préalable systématique (analyse) 4

Mise en œuvre des FPGA : Approche de base Électronique numérique en 2 ème année Module : «Mise en œuvre de FPGA» Initiation au langage de description matériel VHDL Conception et simulation avec les outils de CAO Sythèse et implantation systématique sur cible FPGA Découverte d'un langage proche du C Indépendance du support et des outils, «reuse» Nécessité de rigueur par rapport à un langage de programmation logicielle 5

Mise en œuvre des FPGA : Approche de base Exemple : Commande de moteur pas-à-pas Logique de contrôle Extension du sujet 1ère année Ajout de fonctionnalités dynamiques (affichage vitesse, comptet-tours, etc.) Paramétrage du modèle (vitesse sens de rotation) 6

Utilisation des outils avancés Travaux pratiques filtrage numérique 2 ème année Interaction avec Matlab et Simulink Conception du filtre et exportation des coefficients Outils de synthèse de filtres Importation des caractéristiques du filtre Matlab Utilisation de la bibliothèque IP Prototypage sur cible FPGA Utilisation d'une carte fille (Andilab) + NIOS 7

Utilisation des outils avancés Prototypage de filtres numériques Réalisation concrète Matlab Synthèse Quartus (filtre et interfaces) Implantation sur carte FPGA équipé d une carte fille A/N N/A (Andilab) 8

Utilisation des outils avancés Démarche de conception globale Projets post DUT Principe du : «Juste par conception» Software design IC & ASIC design Electrical design Reliability design Interface d utilisation commune Librairies communes Technologies paramétrables Données échangeables Mechanical design PCB design Technical documentation 9

Utilisation des outils avancés Exemple : projets de 5 ème année INSA de Strasbourg «Wattmètre intégré» Conception en HDL : VHDL-AMS Tension Courant Côté «Puissance» Réseau électrique Capteurs I/U Wattmètre Intégré RAM externe DSP Blocs optionnels µc/µp Affichage & Bus Sorties réseaux numérique 10

Utilisation des outils avancés «Wattmètre intégré» : Microsystème mixte CAN VREFH1 VREFH2 VREFH3 Mixte Analogique Numérique Wattmètre Voltage Input Voltage Regulator VDDA GNDA Numérique Séquenceur Current Input Σ ADC x2 OFFSET & GAIN ADJUSTMENT (OPTIONAL) WATT-METERS PRE- PROCESSOR VDD GND Numérique XTAL HOST INTERFACE XOUT XIN CKO IRQN SDI SCK SDO CSN 11

Utilisation des outils avancés «Wattmètre intégré» : VHDL-AMS Conception hiérarchisée Modulateur : VHDL-AMS Modélisation et/ou CAO µ-élec Bloc numériques : VHDL synthétisable Prototypage avec interfaçage sur SD discret 12