Sécurité de la conception et protection de la propriété intellectuelle état de l art



Documents pareils
Sécurité et sûreté des systèmes embarqués et mobiles

Chapitre 1 : Introduction aux méthodologies de conception et de vérification pour SE

Formation. Mastère Spécialisé en Sécurité des Systèmes Intégrés & Applications. Post-master s degree in Security of Integrated Systems & Applications

MAC-TC: programmation d un plate forme DSP-FPGA

Thème 3 Conception et vérification d architectures de systèmes sur puce

Solution A La Gestion Des Objets Java Pour Des Systèmes Embarqués

Les systèmes embarqués Introduction. Richard Grisel Professeur des Universités Université de Rouen Nacer Abouchi Professeur ESCPE Lyon

Fiche COMPOSANTS ELECTRONIQUES

LES MÉMOIRES FLASH : ENTRE MÉMOIRE VIVE ET MÉMOIRE DE STOCKAGE. Etienne Nowak 12 mars Etienne Nowak - 12 mars GIS-SPADON

Evaluation, Certification Axes de R&D en protection

Quoi de neuf en contrôle/commande et systèmes embarqués (RIO, WSN...)?

Sécurité des systèmes d'informations et communicants dans le médical

Bibliographie. Gestion des risques

Traçabilité des produits et opportunités marché

La carte à puce. Jean-Philippe Babau

Equilibrage de charge (Load

NFC Near Field Communication

Quoi de neuf en LabVIEW FPGA 2010?

Potentiels de la technologie FPGA dans la conception des systèmes. Avantages des FPGAs pour la conception de systèmes optimisés

Conception et Intégration de Systèmes Critiques

Les Réseaux sans fils : IEEE F. Nolot

La convergence des contrôles d accès physique et logique

Principes. 2A-SI 3 Prog. réseau et systèmes distribués 3. 3 Programmation en CORBA. Programmation en Corba. Stéphane Vialle

Organisation du parcours M2 IR Les unités d enseignements (UE) affichées dans la partie tronc commun sont toutes obligatoires, ainsi que le stage et

NOMENCLATURE. PARTIE 1 : PRODUITS, MATERIAUX et EQUIPEMENTS

EPREUVE OPTIONNELLE d INFORMATIQUE CORRIGE

RENDEZ VOS CLEFS OU L AUTHENTIFICATION FORTE SANS SUPPORT PHYSIQUE

DNSSEC. Introduction. les extensions de sécurité du DNS. Les dossiers thématiques de l AFNIC. 1 - Organisation et fonctionnement du DNS

Profil UML pour TLM: contribution à la formalisation et à l automatisation du flot de conception et vérification des systèmes-sur-puce.

Sur un ordinateur portable ou un All-in-One tactile, la plupart des éléments mentionnés précédemment sont regroupés. 10) 11)

Hypervision et pilotage temps réel des réseaux IP/MPLS

Choix d'un serveur. Choix 1 : HP ProLiant DL380 G7 Base - Xeon E GHz

Sécurisation du stockage de données sur le Cloud Michel Kheirallah

Master4Light. Caractérisation Optique et Electrique des Sources Lumineuses. Equipement 2-en-1 : source de courant et spectrophotomètre

Conférence sur les microcontroleurs.

Indiscrétions et «zones constructeurs» «Redécouvrons nos disques durs»

Cryptographie. Cours 3/8 - Chiffrement asymétrique

La sécurité dans les grilles

Introduction aux systèmes temps réel. Iulian Ober IRIT

Modélisation de la Reconfiguration Dynamique appliquée à un décodeur LDPC Non Binaire

Ordinateur portable Latitude E5410

Cryptologie. Algorithmes à clé publique. Jean-Marc Robert. Génie logiciel et des TI

Leçon 1 : Les principaux composants d un ordinateur

DOCUMENT PROTEGE PAR UN DROIT DE COPIE. CPLD ou FPGA Critères de choix. page 1

Implémentation Matérielle des Services d un RTOS sur Circuit Reconfigurable

epowerswitch 8XM+ Fiche technique

Vers du matériel libre

SÉCURITÉ DES INFRASTRUCTURES Infrastructures critiques, approche globale de sécurité : conception, durcissement, résilience. Daniel PAYS, THALES

Présentation de la plateforme Diagnostic RFID

La Gestion des Applications la plus efficace du marché

Journées MATHRICE "Dijon-Besançon" DIJON mars Projet MySafeKey Authentification par clé USB

RFID: Middleware et intégration avec le système d'information Olivier Liechti

Firewall IDS Architecture. Assurer le contrôle des connexions au. Sécurité 1

Présenté par : Sous la direction de :

Table des matières. Chapitre 1 Les architectures TSE en entreprise

«clustering» et «load balancing» avec Zope et ZEO

Mise en route d'un Routeur/Pare-Feu

Le langage VHDL. Eduardo Sanchez EPFL

Windows Server Chapitre 1: Découvrir Windows Server 2008

Bienvenue sur Lab-Windows Il n'y a de vents favorables que pour ceux qui ont un cap

1 Architecture du cœur ARM Cortex M3. Le cœur ARM Cortex M3 sera présenté en classe à partir des éléments suivants :

Moteur DC: Comment faire varier sa vitesse?

SSL ET IPSEC. Licence Pro ATC Amel Guetat

QUESTION 1 {2 points}

Routeur Chiffrant Navista Version Et le protocole de chiffrement du Réseau Privé Virtuel Navista Tunneling System - NTS Version 3.1.

Thèse CIFRE. Mécanismes de monitoring sémantique dédiés à la sécurité des infrastructures cloud IaaS

Modélisation physique des cellules logiques... Modèles pour le placement routage, le format "LEF"

datatale Boîtier Crypté 2.5-pouces pour disque dur Manuel d utilisation USB 2.0 AES 256 bit Rev.01

Nouvelle génération, plus performante

Chapitre 4 : Les mémoires

ARDUINO DOSSIER RESSOURCE POUR LA CLASSE

CRYPTOGRAPHIE. Chiffrement par flot. E. Bresson. SGDN/DCSSI Laboratoire de cryptographie

UE Programmation Impérative Licence 2ème Année

Offre de thèse. Co-encadrant de thèse : HENRY Sébastien Coordonnées électroniques : Sébastien.Henry@univ-lyon1.fr Laboratoire : DISP (

Toshiba EasyGuard en action :

MEAD : temps réel et tolérance aux pannes pour CORBA

THÈSE DEVANT L UNIVERSITÉ DE RENNES 1

La technologie Java Card TM

Étude des Corrélations entre Paramètres Statiques et Dynamiques des Convertisseurs Analogique-Numérique en vue d optimiser leur Flot de Test

11 Février 2014 Paris nidays.fr

Ordonnancement temps réel

Manipulations du laboratoire

PROGRAMME DU CONCOURS DE RÉDACTEUR INFORMATICIEN

Sécuristation du Cloud

SED SELF ENCRYPTING DRIVE Disques durs chiffrant : la solution contre les pertes de données

Présentation BAI -CITC

VMware ESX/ESXi. 1. Les composants d ESX. VMware ESX4 est le cœur de l infrastructure vsphere 4.

Cours 14. Crypto. 2004, Marc-André Léger

CONTEC CO., LTD. Novembre 2010

Steganos présente Security Suite 2007, son incontournable suite de sécurité pour PC.

ELP 304 : Électronique Numérique. Cours 1 Introduction

Vers une approche Adaptative pour la Découverte et la Composition Dynamique des Services

Konica Minolta, un leader aux standards de sécurité les plus élevés du marché

Authentification à deux facteurs Cryptage portable gratuit des lecteurs USB Cryptage du disque dur

Fiche technique CPU 315SN/PN (315-4PN33)

Chapitre 7. Sécurité des réseaux. Services, attaques et mécanismes cryptographiques. Hdhili M.H. Cours Administration et sécurité des réseaux

Architecture des Ordinateurs. Partie II:

SIN-FPGA DESCRIPTION PAR SCHEMA

Découvrir les vulnérabilités au sein des applications Web

Sans trop entrer dans les détails, la démarche IO Model consiste à : Pour cela, Microsoft découpe la maîtrise de l infrastructure en quatre niveaux :

Transcription:

Lilian Bossuet Université de Saint-Etienne Laboratoire Hubert Curien GDR SoC-SiP Journée «Sécurité des systèmes embarqués» Contrefaçons, PUF et Trojans Paris, le 27 novembre 2012 Sécurité de la conception et protection de la propriété intellectuelle état de l art Lutte contre le vol, la copie illégale, le reverseengineering et la contrefaçon de circuits intégrés Lilian Bossuet GDR SoC-Sip Journée Sécurité des Systèmes Embarqués Paris - 27 novembre 2012 1/34

Sommaire I. Introduction Le marché des semi-conducteurs Modèle de menaces II. Les contre-mesures passives L authentification Le watermarking La détection de recyclage L obfuscation III. Les contre-mesures actives Activation de circuits intégrés Chiffrement de la logique Obfuscation /chiffrement de FSM Chiffrement de routage Chiffrement de configuration (FPGA) Blocage fonctionnel IV. Conclusions SalWare vs MalWare Perspectives Lilian Bossuet GDR SoC-Sip Journée Sécurité des Systèmes Embarqués Paris - 27 novembre 2012 2/34

Situation macro Evolution dans l industrie microélectronique Marché en progression +3.7% en 2011 Prévision de 6 à 7% en 2012 (>250 milliards ) Augmentation des coûts de conception des SoC 40% pour le passage 32nm=>28nm (130 M ) Limitée à 30% si wafer 450mm (source ITRS 2011) Investissement du G450c : 4.4 milliards de $ Délocalisation (vers l Asie) de la fab et de la R&D Augmentation du nombre de sociétés Fabless Augmentation de la complexité des SoC et de la valeur ajoutée Caractéristiques propres aux produits contrefaits Produits à très forte valeur ajoutée Obsolescence fonctionnelle rapide des produits électroniques (un nouvel iphone tout les ans!) Délais de conception longs Outils et circuits bon marché pour le contrefacteur Risques limités pour le contrefacteur Gravure Taiwan Semiconductor Manufacturing Co., Ltd. F. Koushanfar 2011 Nombre de Transistors Coûts de conception 130 nm 9 millions 9 millions 90 nm 16 millions 18 millions 65 nm 30 millions 46 millions Rapport Saunier, 2008 Lilian Bossuet GDR SoC-Sip Journée Sécurité des Systèmes Embarqués Paris - 27 novembre 2012 3/34

Menaces dans les chaines de fabrication et d approvisionnement Illegal Fab Illegal device copy/clone Netlist / IP theft Mask P theft Overbulding chip Untested Device theft Discarded device (scrapheap) Fabless Designer Fab Wafer Chip Bond Device Wafer Mask test & Production Package Device test Device Device Broker / Stockist (not trusted) IC Netlist Legal Fab (not trusted) Competitor designer + Fab Reverse Engeenering Compertitor s Device Distribution Device Counterfeit Device Old-fashioned device Illegal Fab Repakaging Relabeling Like-new device Relabeled / repakaged falsifyed device Les principales menaces Use life End-of-life device Illegal Fab Refurbishing Le vol de propriété intellectuelle Le vol de masques, puces et circuits (overbuilding) La copie / le clonage illégal La contrefaçon La rénovation illégale Le reverse engineering La modification de fonctionnalités (déblocage, DRM) Lilian Bossuet GDR SoC-Sip Journée Sécurité des Systèmes Embarqués Paris - 27 novembre 2012 4/34

Les chiffres (???) La contrefaçon de produits électroniques Estimation de la contrefaçon à 10 % du marché mondiale Coût : 200 milliards de $ par an aux USA Impact : 250 000 emplois perdu par an aux USA En 2008 la douane Européenne a saisie 178 million de produits contrefaits (montres, maroquinerie, habits, médicaments, tabac, produits électroniques) Estimation de la contrefaçon à 7% du marché des semi-conducteurs [1] Pertes de 10 milliards de $ par an Entre 2007 et 2010 la douane Américaine a saisie 5.6 million de produits électroniques contrefaits [2] De nombreux cas pour des composants militaires et aéronautiques [3,4] [1] M. Pecht, S. Tiku. Bogus! Electronic manufacturing and consumers confront a rising tide of counterfeit electronics. IEEE Spectrum, May 2006 [2] AGMA, Alliance for Gray Markets and Counterfeit Adatement, http://www.agmaglobal.org [3] S. Maynard. Trusted Foundry Be Safe. Be Sure. Be Trusted Trusted Manufacturing of Integrated Circuits for the Department of Defenses. NDIA Manufacturing Division Meeting, October 2010 www.trustedfoundryprogram.or [4] C. Gorman. Counterfeit Chips on the Rise. IEEE Spectrum, June 2012 Lilian Bossuet GDR SoC-Sip Journée Sécurité des Systèmes Embarqués Paris - 27 novembre 2012 5/34

Evolution de la contrefaçon de circuits intégrés 1500 1200 Evolution de la contrefaçon et cibles Recensement USA Estimation : «pour 1 contrefaçon signalée => 35 non signalées» [1] 1363 25.2% Représente un marché de 137 milliards de / 250 milliards du marché des semiconducteurs [2] Circuits intégrés analogiques (29% sans fil) Nombre de références saisies 900 600 300 13.4% 13.1% 8.3% 7.6% Microprocesseurs (85% informatique) Mémoires (53% informatique) Logiques programmables (30 % industrie) Transistors (25% grand public) Autres 32.4% 0 2001 2002 2003 2004 2005 2006 2007 2008 2009 2010 2011 [1] C. Gorman. Counterfeit Chips on the Rise. IEEE Spectrum, June 2012 [2] IHS-ERAI http://www.ihs.com/info/sc/a/combating-counterfeits/index.aspx Lilian Bossuet GDR SoC-Sip Journée Sécurité des Systèmes Embarqués Paris - 27 novembre 2012 6/34

Exemple de contrefaçon Source : EE Times, August 2007 Lilian Bossuet GDR SoC-Sip Journée Sécurité des Systèmes Embarqués Paris - 27 novembre 2012 7/34

Quelques faits marquants Fausse usine NEC Découverte en 2006 [1,2] 50 références de produits Home-cinéma, lecteur MP3, lecteur de DVD, clavier d ordinateur VisonTech (USA) De 2006 à 2010 ce courtier américain à vendu plus de 60 000 contrefaçons de circuits intégrés [3] Parmi ses clients : US Navy, Raytheon Missile System [1] Next Step for Counterfeiters: Faking the Whole Compagny, New York Times, May 2006 http://www.nytimes.com/2006/05/01/technology/01pirate.html?pagewanted=all [2] Fake NEC compagny, says report, EE Times, April 2006 http://www.eetimes.com/electronicsnews/4060352/fake-nec-company-found-says-report [3] http://eetimes.com/electronics-news/4229964/chip-counterfeiting-case-exposes-defensesupply-chain-flaw Lilian Bossuet GDR SoC-Sip Journée Sécurité des Systèmes Embarqués Paris - 27 novembre 2012 8/34

Les conséquences La contrefaçon de produits électroniques Pertes de marchés (conséquences sociales : pertes d emplois) Insatisfaction des consommateurs et dommage sur l image de marque Non garantie de sécurité (données / systèmes sensibles) Non garantie de la fiabilité opérationnelle des équipements Coût de diagnostic/réparation Ex: 2.7 million $ pour système de missiles américain Potentielle pollution non maitrisée Sensibilité aux malwares (hardware trojan) Il est nécessaire de lutter Projets mixtes académiques/industriels Support de l industrie microélectronique Prise de conscience nécessaire du législatif (national/européen) Le U.S. National Defense Authorization Act (NDAA - 2012) spécifie des règles strictes pour les fournisseurs du DoD Lilian Bossuet GDR SoC-Sip Journée Sécurité des Systèmes Embarqués Paris - 27 novembre 2012 9/34

Sommaire I. Introduction Le marché des semi-conducteurs Modèle de menaces II. Les contre-mesures passives L authentification Le watermarking La détection de recyclage L obfuscation III. Les contre-mesures actives Activation de circuits intégrés Chiffrement de la logique Obfuscation /chiffrement de FSM Chiffrement de routage Chiffrement de configuration (FPGA) Blocage fonctionnel IV. Conclusions SalWare vs MalWare Perspectives Lilian Bossuet GDR SoC-Sip Journée Sécurité des Systèmes Embarqués Paris - 27 novembre 2012 10/34

Authentification de circuits intégrés Silicon Physical Unclonable Function (PUF) Objectif : authentifier de façon sure un circuit intégré comme une empreinte digitale Concept : utilisation du bruit lié à la variation de process CMOS (variabilité) Fonctionnement : pour une entrée sur N bits (CHALLENGE) le PUF donne une valeur unique et non prévisible sur K bits (REPONSE) PUF1 PUF2 PUF3 Challenge Response 110101 1000XX 100100 1101XX Challenge Response 100001 1110XX 100100 0101XX Challenge Response 110111 0000XX 111100 0101XX Voir la présentation de Jean-Luc Danger Journée sécurité des systèmes embarqués du GDR SoC-SiP, 27/11/2012 Lilian Bossuet GDR SoC-Sip Journée Sécurité des Systèmes Embarqués Paris - 27 novembre 2012 11/34

Détection de copie par marquage (watermarking) La contrefaçon peut intervenir lors de la conception Objectif : détecter un vol ou une copie de silicium (IP / circuit intégré) Idée : insérer une marque lors du développement M Illegal Fab Marked illegal device copy/clone Netlist / IP theft Mask P theft Overbulding chip Untested Device theft Discarded device (scrapheap) M Fabless Designer M Fab Wafer Chip Bond Device Wafer Mask test & Production Package Device test Device Broker / Stockist (not trusted) Legal Fab (not trusted) IC Netlist Propriétés de la marque (contraintes) Pas d impact sur les performances Facile à vérifier Difficile à détecter Pas de possibilité de changer ou supprimer Information suffisante Surcoûts faibles (surface, power etc..) Compertitor s Device M M Distribution M M M M M Device M M Counterfeit Device M Lilian Bossuet GDR SoC-Sip Journée Sécurité des Systèmes Embarqués Paris - 27 novembre 2012 12/34

Trois niveaux de watermaking dans un flot de conception matériel a) Pre-processing b) In-process c) Post-processing and B. Le Gal, L. Bossuet. Automatic low-cost IP watermarking technique based on output mark insertion. Design Automation for Embedded System, Springer, 2012 Lilian Bossuet GDR SoC-Sip Journée Sécurité des Systèmes Embarqués Paris - 27 novembre 2012 13/34

Au niveau algorithme a) Pre-processing b) In-process c) Post-processing Il s agit de modifier légèrement l application au niveau de l algorithme pour la marquer Particulièrement adapté aux applications du traitement du signal (modification des coefficients) Marquage facile mais détection difficile and Il peut y avoir de légères modifications de l architecture A. Rashid, J. Asher, W.H. Mangione-Smith, M. Potkonjak. Hierarchical for Protection of DSP Filter Cores. In Proc. IEEE custom Integrated Circuits Conference, 1999 Lilian Bossuet GDR SoC-Sip Journée Sécurité des Systèmes Embarqués Paris - 27 novembre 2012 14/34

Au niveau synthèse a) Pre-processing b) In-process c) Post-processing Modification du graphe de l algorithme lors de la synthèse comportementale de haut niveau Méthode pré-synthèse comportementale Ajout d arcs dans un graph Marque dans registre d allocation Réduction des optimisations dues à la synthèse and F. Koushanfar, I. Hong,M. Potkonjak, Behavioral synthesis techniques for intellectual property protection, ACM Transactions on Design Automation of Electronic Systems 10/3, 2005, 523 545. Modification de l étape de synthèse logique Méthode post-synthèse logique Certaines sorties de portes logiques sont choisies aléatoirement et envoyées vers une logique additionnelle non fonctionnelle (dummy logic) Facilement détectable, surcoût logique D. Kirovski, Y. Hwang, M. Potkonjak, J. Cong. property protection by watermarking conditional logic synthesis solutions. In International Conference of Computer Aided Design, 1998,pp. 194-198, Lilian Bossuet GDR SoC-Sip Journée Sécurité des Systèmes Embarqués Paris - 27 novembre 2012 15/34

Au niveau synthèse a) Pre-processing b) In-process c) Post-processing Insertion une marque à très bas coût dans un IP de traitement du signal Idée : créer automatiquement une marque sous forme de fonctions mathématiques dont les résultats s insèrent dans les valeurs de sortie aux instants propices and B. Le Gal, L. Bossuet. Automatic low-cost IP watermarking technique based on output mark insertion. Design Automation for Embedded System, Springer, 2012 http://www.springerlink.com/content/100255/?content+status=accepted Lilian Bossuet GDR SoC-Sip Journée Sécurité des Systèmes Embarqués Paris - 27 novembre 2012 16/34

Résultats d implantation a) Pre-processing b) In-process c) Post-processing Résultat obtenus en ciblant un FPGA Xilinx Virtex-5 Outils : GraphLab-M + Xilinx ISE Augmentation en surface < 1% - Réduction de la vitesse < 1% and FIR 64 ème ordre DCT 1-D 8 points DCT 2-D 8x8 points SSD 2-D 16x16 points FFT 64 points description comportementale Type compilation Longueur marque (bits) Surface (Slices V5) f max (MHz) initial - 6612 68.4 marqué 37 6667 68.7 initial - 8818 allocation65.8 modèle marqué Interne 56 8906 65.9 initial - 31428 57.9 marqué 584 31460 58.3 initial - 3193 63.7 optimisation marqué 80 3222 63.8 description RTL marquée initial - 51086 57.9 M contraintes analyse du graphe sélection ordonnancement connexion compilation marque marqué 600 51208 57.9 paramètres de marquage librairies matérielles Surcoût Surface (%) Réduction f max (%) + 0.83 + 0.53 + 1.00 + 1.13 + 1.02 + 0.75 + 0.91 + 0.25 Flot de HLS GraphLab-M + 0,24 + 0,01 B. Le Gal, L. Bossuet. Automatic low-cost IP watermarking technique based on output mark insertion. Design Automation for Embedded System, Springer, 2012 http://www.springerlink.com/content/100255/?content+status=accepted Lilian Bossuet GDR SoC-Sip Journée Sécurité des Systèmes Embarqués Paris - 27 novembre 2012 17/34

Comparaison des méthodes au niveaux synthèse Comparaison à partir des données publiées : DCT 2D Chaine de marquage Modifications apportées Longueur de marque (bits) Surcoût en surface Surcoût en débit Nombre de marques possibles 1- Foushanfar et al. 2005 18 170 arcs ajoutés au graphe 2047 - - 2 1E25 2 - Kirovski et al. 1998 273 réseaux logiques + glue 256 4.40% - 2 1E637 3 - Le Gal, Bossuet 2012 (cost-less) Registre de sortie FSM 584 0.02% 0.2% 2 584 4 - Le Gal, Bossuet 2012 (low-cost) Chemin de données 584 1.02% 0.75% 2 1,5E3 Eléments de synthèse Compromis surcoûts en surface et débit vs nombre de marques possibles Analyse en sécurité => mieux vaut une diffusion de la marque dans le layout (1 & 4) Remarque : l ajout de moyens cryptographiques n augmente pas la sécurité Lilian Bossuet GDR SoC-Sip Journée Sécurité des Systèmes Embarqués Paris - 27 novembre 2012 18/34

Au niveau hard a) Pre-processing b) In-process c) Post-processing Utilisation des LUT/Blocs mémoires non utilisés dans le FPGA Mémorisation directe de la marque (configuration des LUT/mémoires) Modification directe du layout (ajout de lignes par exemple) Marquage difficile (manuel) mais détection facile and J. Latch, W. Mangione-Smith, M. Potkonjak. Robust FPGA intellectual property protection through multiple small watermarks. In International DAC 1999 Lilian Bossuet GDR SoC-Sip Journée Sécurité des Systèmes Embarqués Paris - 27 novembre 2012 19/34

Détection de contrefaçon Quelques moyens industriels de détection de contrefaçon Nettoyage, «grattage» du circuit, inspection visuelle Avant Après Faux Atmel Faux Motorola Inspection microscopique (reverse) Inspection rayon X Lilian Bossuet GDR SoC-Sip Journée Sécurité des Systèmes Embarqués Paris - 27 novembre 2012 20/34

L obfuscation L obfuscation Synonymes : assombrissement, opacification, obscurcissement Définition : Opération qui consiste à transformer une section de code ou un programme, de manière à le rendre totalement incompréhensible à un lecteur humain, même aidé d'outils informatiques Objectif : empêcher le reverse engineering (utilisé par exemple en virologie) et la modification fonctionnelle illégale (DRM, licence d utilisation) Caractéristiques : va à l encontre des règles de l art dans le domaine de la programmation logiciel, transforme un message (programme) clair (exécutable) en un autre message clair. Exemples de méthodes : optimisation de code, modification des appels de fonctions, entrelacement, redondance, code «mort», déstructuration, déroulage de boucle, fusion de boucle, entrelacement de boucle, insertion de branchement conditionnel, dégénérescence du flux de contrôle (modification de branches statiques en dynamiques) Coûts : taille du code, durée de développement Résistance : mesurée par la complexité et les performances de l outil de désobfuscation Au niveau matériel Cible : bloc IP, ASIC Niveau : VHDL / netlist Lilian Bossuet GDR SoC-Sip Journée Sécurité des Systèmes Embarqués Paris - 27 novembre 2012 21/34

L obfuscation au niveau matériel L obfuscation au niveau VHDL (existe pour Verilog) Quelques exemples a_reg : shift_reg_word port map ( clk => clk, load => load, shift => shift, clr => tied_0, d => multiplicand, d_s => p_to_a, q => product_low, q_s => open ); b_reg : reg_word port map ( clk => clk, load => load, d => multiply_by, q => b ); Y<=a+b; Function Outline ll111: O00IIIIIII port map (a, b,y); Ou Y<=O0II10OO01(a,b); Layout obfuscation (zero-cost) ll111: O00 port map (clk => clk,load => load,lll1 => lll1,ooo0 => l1l11,oo0 => multiplicand,l1l1 => lll11,l11 => product_low,o0o0 => open ); OO000: ll11 port map (clk => clk,load => load,oo0 => multiply_by,l11 => O000); s<= a xor b; c<= a and b; Change of encoding (splitting boolean into 4 integres) Original VHDL; Obfuscated VHDL; http://www.eng.fsu.edu/~umb/o4.htm Signal a1, a2, b1, b2, ci, si, s1, s2, c1, c2: integer; BEGIN a1<=1; a2<=0 when a= 1 else 1; b1<=0; b2<=0 when b= 1 else 0; si<=iexor(a1*2+a2,2*b1+b2); S1<=si/2; s2<=si-2*(si/2); S<=VAL(s1,s2); Ci<=IAND(a1*2+a2,2*b1+b2); Ci<=ci/2; c2<=ci-2*(ci/2); C<= 0 when c1=c2 else 1 ; END; M. Brzozowski, V. Yarmolik. Obfuscation as Rights Protection in VHDL Language. In CISIM 2007 U. Meyer-Baese, E. Castillo, G. Botella, L. Parrilla, A. Garcia. Protection (IPP) usign Obfuscation in C, VHDL, and Verilog Coding. In Proceedings of SPIE 2011 Lilian Bossuet GDR SoC-Sip Journée Sécurité des Systèmes Embarqués Paris - 27 novembre 2012 22/34

Sommaire I. Introduction Le marché des semi-conducteurs Modèle de menaces II. Les contre-mesures passives L authentification Le watermarking La détection de recyclage L obfuscation III. Les contre-mesures actives Activation de circuits intégrés Chiffrement de la logique Obfuscation /chiffrement de FSM Chiffrement de routage Chiffrement de configuration (FPGA) Blocage fonctionnel IV. Conclusions SalWare vs MalWare Perspectives Lilian Bossuet GDR SoC-Sip Journée Sécurité des Systèmes Embarqués Paris - 27 novembre 2012 23/34

Activation de circuits intégrés L activation est réalisée (à distance) en fin de process de fabrication Un circuit volé/copié avant activation n est pas utilisable Nécessite un protocole cryptographique Couplée à un blocage fonctionnel Chiffrement de la logique /FSM Chiffrement du chemin de données (BUS, NoC) Illegal Fab Illegal device copy/clone of locked device Unexploitable device Netlist / IP theft Remote IC activation system Mask P theft Overbulding chip Untested Device theft Discarded device (scrapheap) Fabless Designer Fab Wafer Locked chip Wafer Mask test Production Bond & Package Locked device Device test Locked device Post-fab IC activation IC Netlist Legal Fab (not trusted) Autorized trusted activator Unlocked device Distribution Lilian Bossuet GDR SoC-Sip Journée Sécurité des Systèmes Embarqués Paris - 27 novembre 2012 24/34

Chiffrement de la logique / du contrôle Logic encryption, FSM obfuscation inputs M1 outputs LOGIC 1 LOGIC LOGIC 2 M2 outputs UC M R. S. Chakrabotry, S. Bhunia. Security Through Obscurity: An Approach for Protecting Register Transfert Level Hardware IP. In Proceedings of HOST 2009 FSM FSM obfuscation Y. A. Alkabani, F. Koushanfar. Active Hardware Metering for Protection Scheme. USENIX 2007 J. Rajendran, Y. Pino, O. Sinanoglu, R. Karri. Logic Encryption: A Fault Analysis Perspective. DATE 2012 Problème : il est très difficile d avoir autant de clé que de circuit Lilian Bossuet GDR SoC-Sip Journée Sécurité des Systèmes Embarqués Paris - 27 novembre 2012 25/34

Chiffrement de la logique / du contrôle FSM obfuscation output encryption Chiffrement de la sortie de la FSM Utilisation d une clé de déblocage Utilisation d un PUF pour l unicité de la clé de déblocage (chaque circuit a sa clé) inputs M1 outputs LOGIC 1 LOGIC LOGIC 2 M2 outputs UC M key KEY PUF J. Rajendran, Y. Pino, O. Sinanoglu, R. Karri. Logic Encryption: A Fault Analysis Perspective. DATE 2012 FSM J. bringer, H. Chabanne, T. Icart. On Physical Obfuscation of cryptographic Algorithlms. INDOCRYPT 20009 FSM obfuscation Y. Alkabani, F. Koushanfar, M. Potkonjak. Remote Activation of Ics for Piracy Prevention and Digital Right Managment. ICCAD 2007 Lilian Bossuet GDR SoC-Sip Journée Sécurité des Systèmes Embarqués Paris - 27 novembre 2012 26/34

Chiffrement du chemin de données Utilisation de «barrière» logique configurable Les barrières logiques sont des LUT Reconfigurables «firewall» => chaque circuit a sa propre clé (on peut ajouter une PUF si nécessaire) Permettent toutes les fonctions logiques (pas que XOR) Placement de la barrière logique Choix fait par heuristique Réduction d un fonction d observabilité (attention aux chemins critiques) A. Baumgarten, A. Tyagi, J. Zambreno. Preventing IC Piracy Using Reconfigurable Logic Barriers. IEEE Design & Test of Computers, January/February 2010 Lilian Bossuet GDR SoC-Sip Journée Sécurité des Systèmes Embarqués Paris - 27 novembre 2012 27/34

Chiffrement de la configuration des FPGA SRAM Chiffrement dynamique du bitstream (données de configuration) Objectif : confidentialité du lien Mémoire de configuration => FPGA Menaces : probing / replay /denial Contraintes : flexibilité (choix du chiffrement) et bas coûts (surface) Idée : déchiffrement en interne, partitionnement et auto-reconfiguration partielle Voir la présentation de Lionel Torres Journée sécurité des systèmes embarqués du GDR SoC-SiP, 07/12/2010 Lilian Bossuet GDR SoC-Sip Journée Sécurité des Systèmes Embarqués Paris - 27 novembre 2012 28/34

Actions de blocage dans un SoC Blocage fonctionnel Contrôleur (FSM / interruption / mémoire) Réseaux de communications internes : bus de données / Cross Bar / NoC Mémoires RAM (bus @ / bus data) Paramétrage/calibration (bloc analogique et mixte) Configuration (efpga / multi-mode-ip) Source STMicroelectronics STW22000 microcontroller Lilian Bossuet GDR SoC-Sip Journée Sécurité des Systèmes Embarqués Paris - 27 novembre 2012 29/34

Quelques règles S appuyer sur des protocoles cryptographiques surs Protection contre les attaques «man-in-the-middle» Pour la reconfiguration/mises à jour => attention aux attaques en rejeu Utiliser une clé d activation par circuit L utilisation de tag ou de PUF sont des solutions intéressantes Le système de blocage fonctionnel doit être très bas coûts Utiliser peu de fois dans la vie du circuit Eviter de charger les chemins critiques ou les fonctions critiques Protection vis-à-vis des attaques matériels Attaques en fautes sur l activation Attaques par canaux cachés des chiffreurs/déchiffreurs Attaques par les canaux de test (scan chain) Trojan Coupler les protections Activation / obfuscation / marquage / chiffrement Lilian Bossuet GDR SoC-Sip Journée Sécurité des Systèmes Embarqués Paris - 27 novembre 2012 30/34

Sommaire I. Introduction Le marché des semi-conducteurs Modèle de menaces II. Les contre-mesures passives L authentification Le watermarking La détection de recyclage L obfuscation III. Les contre-mesures actives Activation de circuits intégrés Chiffrement de la logique Obfuscation /chiffrement de FSM Chiffrement de routage Chiffrement de configuration (FPGA) Blocage fonctionnel IV. Conclusions SalWare vs MalWare Perspectives Lilian Bossuet GDR SoC-Sip Journée Sécurité des Systèmes Embarqués Paris - 27 novembre 2012 31/34

Salware / Malware Salutary Hardware vs Malicious Hardware Salutary Hardware : watermarking, activation à distance, PUF Malicious Hardware : hardware trojan, backdoor, ghost circuit Procédés proches pour un objectif opposé Etudier les deux contextes simultanément Hiden remote access Information leak (side channel) IP watermark (side channel) backdoor spy M M Trojan (switch) PUF IC-ID activation Secure remote access Lilian Bossuet GDR SoC-Sip Journée Sécurité des Systèmes Embarqués Paris - 27 novembre 2012 32/34

Perspectives Etudier la réalisation d un TPM bas-coût, normalisé et multi-applications Secure by design Activation à distance d IP/fonctionnalités Protection de la configuration Protection du code source Support de sécurité pour thrid-party IP Normaliser la caractérisation des PUFs et des systèmes d authentification AIS / FIPS etc. Mettre à disposition des concepteurs des outils de CAO Marquage automatique d IP Insertion de blocs de protection (TPM) Garantir une chaine de confiance du matériel au logiciel Etudier la fabrication au niveau sécurité Split manufacturing (AMD, IARPA) 3D Lilian Bossuet GDR SoC-Sip Journée Sécurité des Systèmes Embarqués Paris - 27 novembre 2012 33/34

Lilian Bossuet Université de Saint-Etienne Laboratoire Hubert Curien GDR SoC-SiP Journée «Sécurité des Systèmes Embarqués» Contrefaçons, PUF et Trojans Paris le 27 novembre 2012 Sécurité de la conception et protection de la propriété intellectuelle Lutte contre le vol, la copie illégale, le reverseengeenering et la contrefaçon de circuits intégrés Lilian Bossuet GDR SoC-Sip Journée Sécurité des Systèmes Embarqués Paris - 27 novembre 2012 34/34