Etre capable de réaliser et simuler avec Quartus II un compteur en mode schématique Logiciels QuartusII Logique de base, architecture de FPGA

Dimension: px
Commencer à balayer dès la page:

Download "Etre capable de réaliser et simuler avec Quartus II un compteur en mode schématique Logiciels QuartusII Logique de base, architecture de FPGA"

Transcription

1 Cyclone QuartusII design Cyclone Quartus base Quartus II - Schematic Objectif Moyens Préliminaire Théorie Matériel Durée Etre capable de réaliser et simuler avec Quartus II un compteur en mode schématique Logiciels QuartusII Logique de base, architecture de FPGA FPGA Quartus II 4 périodes Introduction Pour réaliser la configuration d une logique programmable complexe (FPGA), il est nécessaire de fournir un fichier contenant la description des logiques internes et des routages des signaux. Heureusement ce travail n est pas effectué «à la main» mais à l aide d outils de synthèse et placement/routage automatiques. Pour décrire le fonctionnement désiré, plusieurs approches sont possibles et disponibles, par exemples : Schéma logique (portes élémentaires (AND, NAND, OR, ), bascules (DFF, TFF, ) Schéma logique avec des éléments de librairies plus complexe (compteurs, registres, multiplexeurs, ) Langages de conceptions (VHDL, Verilog) Diagrammes temporelles Machines d états Assemblage de bloc fonctionnel avec bus interne (SOPC Builder et librairie de composants (interfaces programmables, processeurs, )) Langage de haut niveau (Esterel, SystemC, SystemVerilog, ) La première étape étant de concevoir ce que l on désire réaliser avec l une ou l autre, voire plusieurs des méthodologies ci-dessus. Dans ce laboratoire, un compteur sera développé et simulé pour une logique programmable Altera Cyclone et simulée, ceci avec les outils de développement Quartus II d Altera ( En premier lieu le compteur sera réalisé en schématique. Dans une étape prochaine, le design sera réalisé en VHDL et exécuté sur la carte FPGA Cyclone du robot du LSN. 2 Carte FPGA Cyclone Cette carte est la carte de traitement du robot Cyclone. Elle est basée sur une FPGA Cyclone EPC2Q240C8 d Altera. La FPGA possède 2'000 éléments logiques et 234 kbits de mémoire SRAM et 73 I/O pins. R.BeuchatW:\Labo\Documents\_Laboratoire_SysNum\Enonces_Labo-Cours\Labo_Quartus_Robot_Cyclone\Labo_FPGA_Schematic_Counter_0_.doc Créé le 24/09/08 Impression le 2/09/ Modifié le 2/09/ 3:09 Version [0.0]

2 Laboratoire de Systèmes Numériques 2 QuartusII-schematic Figure Carte FPGA Cyclone Il y a également : 64 Moctets de mémoire SDRAM externes une mémoire Flash série EPCS4 pour la configuration 2 switchs utilisateur 2 LEDs utilisateur Connecteur caméra Connecteur port parallèle (type PC) partagé avec bus Mubus Un connecteur extension 8 bits (PortA), un de 5 bits + 2 bits (Port B) 2 interfaces série, un SPI 2 switchs ON/OFF 3 Développement à réaliser L objectif est de revoir les notions de logique synchrone et de les appliquer sur la FPGA. Un compteur de 4 bits est à développer «à la main», par table de vérité Karnaugh schématique. Le schéma développé est à introduire sur un projet sous QuartusII et sera compilé puis simulé avec un outil graphique. Le résultat de la logique peut être visualisé sous forme «RTL» (Register Transfer Level), c est-à-dire sous forme de registres (bascule D) de mémorisation et synchronisation, et de logique entre ces bascules. Le résultat peut également être visualisé sous forme d implémentation en fonction de la logique programmable utilisée (ex. FPGA à look up table). L implémentation physique est visible sous forme de placement dans le circuit et visualisation possible des délais de transferts des signaux. La simulation peut être : Fonctionnelle et seules les fonctions logiques nous intéresse, c est-à-dire si la logique est correcte Temporelle, où nous désirons en plus vérifier les relations temporelle du design. 3. Compteur Un compteur de 4 bits est à concevoir. Il compte Il a en entrée les signaux : Clk, horloge nreset, signal de remise à 0, actif au niveau bas ( 0 ). Et en sortie : Q[3]..Q[0], les 4 signaux du compteur R.BeuchatW:\Labo\Documents\_Laboratoire_SysNum\Enonces_Labo-Cours\Labo_Quartus_Robot_Cyclone\Labo_FPGA_Schematic_Counter_0_.doc

3 Laboratoire de Systèmes Numériques 3 QuartusII-schematic Question Compteur 4 bits. Etablissez la table de vérité du compteur (papier crayon).2 Faites les tables de Karnaugh.3 Trouvez les équations logiques de chaque bit Q[3]..Q[0].4 Dessinez la schématique avec des portes AND/OR/NOT et bascules D Répondez sur la feuille de réponse annexe. La conception est réalisée, il ne reste plus qu à l introduire sur l outil de développement Quartus II. 4 Quartus II La version utilisée pour cet exercice est Quartus II 7.2 SP2. Les sections suivantes présentent la méthodologie de base pour passer de la conception à la réalisation du système sur la FPGA. Quelques règles importantes avant de commencer : NE JAMAIS mettre d espace dans les noms de dossier et de fichiers NE PAS utiliser le dossier «Mes documents» NE PAS utiliser des adresses UNC (\\serveur\dossier...), associer une lettre au dossier de travail s il est sur un serveur (en général Z:) NE PAS mettre de caractères spéciaux dans les noms de fichiers, seulement : a..z, 0..9, _ Un dossier séparé pour chaque projet Exécutez QuartusII sur le PC. La fenêtre suivante apparaitra : Figure 2 Logiciel QuartusII Les 5 fenêtres de base sont :. Navigateur de projet avec 3 onglets : Hierarchy, Files, Design Units 2. Statuts des divers outils utilisés, progression des compilations 3. Messages des divers outils exécutés 4. Console pour scripts tcl 5. Fenêtre de travail R.BeuchatW:\Labo\Documents\_Laboratoire_SysNum\Enonces_Labo-Cours\Labo_Quartus_Robot_Cyclone\Labo_FPGA_Schematic_Counter_0_.doc

4 Laboratoire de Systèmes Numériques 4 QuartusII-schematic 4. Organigramme général de conception La figure suivante présente les diverses étapes et outils utilisés de la conception à l exécution sur la carte du système à réaliser. Les 3 outils Quartus II (Design, compilation, simulation), SOPC Builder (système Avalon) et IDE (logiciel) sont utilisés. Dans ce laboratoire, seul Quartus II est utilisé. A noter que d autres fonctions sont à disposition dans ces 3 outils et que la présentation ici n en présente qu une petite partie de base. R.BeuchatW:\Labo\Documents\_Laboratoire_SysNum\Enonces_Labo-Cours\Labo_Quartus_Robot_Cyclone\Labo_FPGA_Schematic_Counter_0_.doc

5 Laboratoire de Systèmes Numériques 5 QuartusII-schematic Création du projet Dossier du projet Création d un schéma du design global Design.bdf Réalisation des modules interfaces spécifiques Avalon_IP Conception Edition Compilation Simulation Réalisation du module système «NIOSII- Avalon» mémoire et Int.Progr. SOPC Builder Generate du design Retour à Quartus : - Ajout du module système - PLL (ALTPLL à 50MHz) - Ajout des pins In, Out, Bidir - Ajout des composants supplémentaires Assignement des pins (script tcl) Pins non utilisées : Mettre Input Compilation Placement / routage Analyse des timings Vérification Développement logiciel en C Retour SOPC Builder Lancer NIOS - IDE Création librairie C Développement logiciel Compilation IDE Eclipse Compilateur gcc Programmation FPGA Debug/exécution logiciel Par câble JTAG R.BeuchatW:\Labo\Documents\_Laboratoire_SysNum\Enonces_Labo-Cours\Labo_Quartus_Robot_Cyclone\Labo_FPGA_Schematic_Counter_0_.doc

6 Laboratoire de Systèmes Numériques 6 QuartusII-schematic 4.2 Création du projet Pour cet exemple Z:\ représente le dossier des projets Le design réalisé ira dans Z:\Labo_Quartus\Quartus_Cnt_Sch Le projet est : Quartus_Cnt_Sch Le top design est Quartus_Cnt_Sch Le même nom a été choisit ici pour les trois éléments.!!!!!!warning: NO SPACE IN THE PATH NAME OF THE PROJECT!!!!!! Figure 3 Nouveau projet [] Figure 4 Nouveau projet [2] Choix de la famille et du composant : Cyclone EPC2Q240C8 R.BeuchatW:\Labo\Documents\_Laboratoire_SysNum\Enonces_Labo-Cours\Labo_Quartus_Robot_Cyclone\Labo_FPGA_Schematic_Counter_0_.doc

7 Laboratoire de Systèmes Numériques 7 QuartusII-schematic Choix de la famille et composant : Cyclone EPC2Q2409C8 Pas d outils supplémentaires : Figure 5 Nouveau projet [3] Figure 6 Rien à rajouter [4] R.BeuchatW:\Labo\Documents\_Laboratoire_SysNum\Enonces_Labo-Cours\Labo_Quartus_Robot_Cyclone\Labo_FPGA_Schematic_Counter_0_.doc

8 Laboratoire de Systèmes Numériques 8 QuartusII-schematic 4.3 Création du design principal Figure 7 Résumé du Project Wizard File New Block Diagram/Schematic File Figure 8 Création du Block Diagram/Schéma Figure 9 Fenêtre pour éditer le schéma R.BeuchatW:\Labo\Documents\_Laboratoire_SysNum\Enonces_Labo-Cours\Labo_Quartus_Robot_Cyclone\Labo_FPGA_Schematic_Counter_0_.doc

9 Laboratoire de Systèmes Numériques 9 QuartusII-schematic Sauver directement le fichier schéma. File Save as YourFileName.bdf Dans notre exemple : Quartus_Cnt_Sch.bdf En double cliquant dans la fenêtre, on peut appeler les éléments de librairie. Figure 0 Sélection des éléments de librairie Les éléments Input, Output représentent les entrées sorties du système. Entrez votre design. 5 Design compilation Le design peut maintenant être compilé pour générer la logique de la FPGA. Sélectionnez le schéma comme design à compiler : Project Set as Top-Level Entity Processing Compiler Tool Start 6 Schématique de compilation Avec les Netlist Viewers, observez le résultat de la compilation. Figure Netlist Viewers R.BeuchatW:\Labo\Documents\_Laboratoire_SysNum\Enonces_Labo-Cours\Labo_Quartus_Robot_Cyclone\Labo_FPGA_Schematic_Counter_0_.doc

10 Laboratoire de Systèmes Numériques 0 QuartusII-schematic Observez : RTL Viewer Technology Map Viewer Quelle différence principale observez-vous? Vous pouvez voir également l emplacement dans le circuit utilisé des éléments synthétisés. Tools Chip planner (Floorplan and Chip editor) Vous pouvez également observer les contenus des blocs logiques : clic bouton de droite sur un élément : locate locate in resource property editor. 7 Simulation Avec le waveform éditeur, entrez des signaux de stimulus pour simuler le design et appelez le simulateur. Processing Simulator Tools OPEN Manipulation Dans l éditeur de stimulis, sélectionnez les signaux à observer et générez une horloge (20MHz) Générez un signal pour le Reset nreset : pulse à 0 Exécutez la simulation en mode fonctionnel (Simulation mode, puis Generate Functional Simulation Netlist) Vérifiez le bon fonctionnement de votre compteur Refaite la simulation en mode timing, quelles différences observez-vous? Changez le signal d horloge et mettez une fréquence de 00 MHz, observez à nouveau le résultat, satisfait du résultat, y a t-il des problèmes? 8 Compteur Modifiez votre design pour avoir une entrée Enable et une sortie Detect9. Le fonctionnement devient le suivant : Si Enable = lorsque le flan montant de l horloge est activé, le compteur compte, autrement il reste dans le même état. Si l état du compteur = 9, la sortie Detect9 est activée (à ), autrement elle est à 0. Simulez le fonctionnement à nouveau. Réalisez un 2 ème compteur qui compte de 0..5 avec les mêmes signaux de contrôle que le précédent, et connectez-les pour avoir un compteur par 60 : en BCD. Créez pour chacun des 2 compteurs un symbole : Files Create/Update Create symbol Files for current file Assemblez-les dans un nouveau schéma et effectuez la simulation. N oubliez pas de redéfinir le nouveau schéma comme le Top-Level design. C est toujours celui-là qui est compilé/simulé. R.BeuchatW:\Labo\Documents\_Laboratoire_SysNum\Enonces_Labo-Cours\Labo_Quartus_Robot_Cyclone\Labo_FPGA_Schematic_Counter_0_.doc

11 Laboratoire de Systèmes Numériques QuartusII-schematic Réponse. Etablissez la table de vérité du compteur, complétez le tableau. nreset Q[3] Q[2] Q[] Q[0] Q[3]+ Q[2]+ Q[]+ Q[0]+ 0.2 Faites les tables de Karnaugh.3 Et indiquez les équations logiques de chaque bit Q[3]..Q[0] : Q Q0 Q3 Q Q0+ Q Q0 Q3 Q Q+ R.BeuchatW:\Labo\Documents\_Laboratoire_SysNum\Enonces_Labo-Cours\Labo_Quartus_Robot_Cyclone\Labo_FPGA_Schematic_Counter_0_.doc

12 Laboratoire de Systèmes Numériques 2 QuartusII-schematic Q Q0 Q3 Q Q2+ Q Q0 Q3 Q Q3+.4 Dessinez la schématique avec des portes AND/OR/NOT et bascules D R.BeuchatW:\Labo\Documents\_Laboratoire_SysNum\Enonces_Labo-Cours\Labo_Quartus_Robot_Cyclone\Labo_FPGA_Schematic_Counter_0_.doc

SIN-FPGA DESCRIPTION PAR SCHEMA

SIN-FPGA DESCRIPTION PAR SCHEMA SIN-FPGA DESCRIPTION PAR SCHEMA Documents ressources: http://www.altera.com/literature/lit-index.html Introduction to Quartus II : intro_to_quartus2.pdf Documentation QUARTUS II : quartusii_handbook.pdf

Plus en détail

Conception Systèmes numériques VHDL et synthèse automatique des circuits

Conception Systèmes numériques VHDL et synthèse automatique des circuits Année 2008-2009 Conception Systèmes numériques VHDL et synthèse automatique des circuits Travaux pratiques Pentium4 Présentation du simulateur VHDL sous environnement Cadence Présentation de l outil Synopsys

Plus en détail

Conception Systèmes numériques VHDL et synthèse automatique des circuits

Conception Systèmes numériques VHDL et synthèse automatique des circuits Année 2011-2012 Conception Systèmes numériques VHDL et synthèse automatique des circuits Travaux pratiques WIDEMACV1 LAAS-CNRS 2011 Présentation du simulateur VHDL sous environnement Cadence Présentation

Plus en détail

Manipulations du laboratoire

Manipulations du laboratoire Manipulations du laboratoire 1 Matériel Les manipulations de ce laboratoire sont réalisées sur une carte électronique comprenant un compteur 4-bit asynchrone (74LS93) avec possibilité de déclenchement

Plus en détail

Le langage C. Séance n 4

Le langage C. Séance n 4 Université Paris-Sud 11 Institut de Formation des Ingénieurs Remise à niveau INFORMATIQUE Année 2007-2008 Travaux pratiques d informatique Le langage C Séance n 4 But : Vous devez maîtriser à la fin de

Plus en détail

PIC EVAL Dev Board PIC18F97J60

PIC EVAL Dev Board PIC18F97J60 PIC EVAL Dev Board PIC18F97J60 2 TP1 : Prise en main de l environnement de programmation pour la carte PIC EVAL-ANFA Pour répondre aux questions et justifier vos réponses, vous pouvez faire des copies

Plus en détail

Chapitre II-2 : Conception SoPC (Altera)

Chapitre II-2 : Conception SoPC (Altera) Chapitre II-2 : Conception SoPC (Altera) Plan 1. 2. Processeurs embarqués : conception conjointe System on Programmable Chip = 1. 2. 3. Systèmes à base de Nios-II Le bus système Avalon Les périphériques

Plus en détail

Comment paramétrer et sauvegarder les configurations d Altium Designer?

Comment paramétrer et sauvegarder les configurations d Altium Designer? 1/2009 13.01.2009 Paramétrage d Altium Designer Question: Comment paramétrer et sauvegarder les configurations d Altium Designer? Contexte: De nombreux clients posent souvent les questions suivantes :

Plus en détail

IFT1215 Introduction aux systèmes informatiques

IFT1215 Introduction aux systèmes informatiques Introduction aux circuits logiques de base IFT25 Architecture en couches Niveau 5 Niveau 4 Niveau 3 Niveau 2 Niveau Niveau Couche des langages d application Traduction (compilateur) Couche du langage d

Plus en détail

1 Démarrer... 3 1.1 L écran Isis...3 1.2 La boite à outils...3 1.2.1 Mode principal... 4 1.2.2 Mode gadget...4 1.2.3 Mode graphique...

1 Démarrer... 3 1.1 L écran Isis...3 1.2 La boite à outils...3 1.2.1 Mode principal... 4 1.2.2 Mode gadget...4 1.2.3 Mode graphique... 1 Démarrer... 3 1.1 L écran Isis...3 1.2 La boite à outils...3 1.2.1 Mode principal... 4 1.2.2 Mode gadget...4 1.2.3 Mode graphique... 4 2 Quelques actions... 5 2.1 Ouvrir un document existant...5 2.2

Plus en détail

Sélection du contrôleur

Sélection du contrôleur Démo CoDeSys - 1 - 1. Configuration de l environnement de travail : Lancer le logiciel CoDeSys Fichier Nouveau Lors de la première utilisation, une boîte de dialogue apparaît permettant la sélection du

Plus en détail

Installation et compilation de gnurbs sous Windows

Installation et compilation de gnurbs sous Windows Installation et compilation de gnurbs sous Windows Installation de l environnement de développement Code::Blocks (Environnement de développement) 1. Télécharger l installateur de Code::Blocks (version

Plus en détail

Contrôleur de communications réseau. Guide de configuration rapide DN1657-0606

Contrôleur de communications réseau. Guide de configuration rapide DN1657-0606 K T - N C C Contrôleur de communications réseau Guide de configuration rapide DN1657-0606 Objectif de ce document Ce Guide de configuration rapide s adresse aux installateurs qui sont déjà familiers avec

Plus en détail

< Atelier 1 /> Démarrer une application web

< Atelier 1 /> Démarrer une application web MES ANNOTATIONS SONT EN ROUGE : Axel < Atelier 1 /> Démarrer une application web Microsoft France Tutorial Découverte de ASP.NET 2.0 Sommaire 1 INTRODUCTION... 3 1.1 CONTEXTE FONCTIONNEL... 3 1.2 CONTEXTE

Plus en détail

ÉCOLE POLYTECHNIQUE DE MONTRÉAL. Département de Génie Électrique. La technologie de TEXAS INSTRUMENTS DSP pour le cours Analyse des Signaux ELE2700

ÉCOLE POLYTECHNIQUE DE MONTRÉAL. Département de Génie Électrique. La technologie de TEXAS INSTRUMENTS DSP pour le cours Analyse des Signaux ELE2700 ÉCOLE POLYTECHNIQUE DE MONTRÉAL Département de Génie Électrique La technologie de TEXAS INSTRUMENTS DSP pour le cours Analyse des Signaux ELE2700 M. Corinthios et Zaher Dannawi 29 août 2007 2 Tables des

Plus en détail

Projet M1 Année scolaire 2013/2014

Projet M1 Année scolaire 2013/2014 Institut Supérieur de l Électronique et du Numérique Tél. : +33 (0)2.98.03.84.00 Fax : +33 (0)2.98.03.84.10 20, rue Cuirassé Bretagne CS 42807-29228 BREST Cedex 2 - FRANCE Projet M1 Année scolaire 2013/2014

Plus en détail

Tutoriel code::blocks

Tutoriel code::blocks Tutoriel code::blocks E. Lunéville 2006 Le logiciel code::blocks fait partie des logiciels de type EDI (Environnement de Développement Intégré, IDE en anglais) pour le langage C++. Il est multiplateforme

Plus en détail

VIII- Circuits séquentiels. Mémoires

VIII- Circuits séquentiels. Mémoires 1 VIII- Circuits séquentiels. Mémoires Maintenant le temps va intervenir. Nous avions déjà indiqué que la traversée d une porte ne se faisait pas instantanément et qu il fallait en tenir compte, notamment

Plus en détail

Projet audio. Analyse des Signaux ELE2700

Projet audio. Analyse des Signaux ELE2700 ÉCOLE POLYTECHNIQUE DE MONTRÉAL Département de Génie Électrique Projet audio Analyse des Signaux ELE2700 Saad Chidami - 2014 Table des matières Objectif du laboratoire... 4 Caractérisation du bruit...

Plus en détail

1-Introduction 2. 2-Installation de JBPM 3. 2-JBPM en action.7

1-Introduction 2. 2-Installation de JBPM 3. 2-JBPM en action.7 Sommaire 1-Introduction 2 1-1- BPM (Business Process Management)..2 1-2 J-Boss JBPM 2 2-Installation de JBPM 3 2-1 Architecture de JOBSS JBPM 3 2-2 Installation du moteur JBoss JBPM et le serveur d application

Plus en détail

Studio. HERITIER Emmanuelle PERSYN Elodie. SCHMUTZ Amandine SCHWEITZER Guillaume

Studio. HERITIER Emmanuelle PERSYN Elodie. SCHMUTZ Amandine SCHWEITZER Guillaume Studio HERITIER Emmanuelle PERSYN Elodie SCHMUTZ Amandine SCHWEITZER Guillaume Cours R Présentation projet 10/10/2013 Introduction RStudio est une interface créé par JJ Allaire Elle est sortie le 11 Février

Plus en détail

Importation de fichiers Eagle

Importation de fichiers Eagle Importation de fichiers Eagle 2 Mention de réserve sur les droits d'auteur Les droits d auteur rattachés à tout ou partie des présents logiciel et manuel appartiennent à RS Components et ne peuvent être

Plus en détail

But du papier : Paramétrer WSUS pour récupérer les mises à jour et administrer le serveur WSUS

But du papier : Paramétrer WSUS pour récupérer les mises à jour et administrer le serveur WSUS Administrer WSUS Rédacteur : Eric Drezet Administrateur réseau CNRS-CRHEA 07/2005 Groupe Admin06 - Resinfo But du papier : Paramétrer WSUS pour récupérer les mises à jour et administrer le serveur WSUS

Plus en détail

Le Registre sous Windows 8 architecture, administration, script, réparation...

Le Registre sous Windows 8 architecture, administration, script, réparation... 234 Le Registre sous Windows 8 architecture, administration, script, réparation... dcliquez sur Affichage - Personnaliser afin d activer ou de désactiver certains éléments de votre Console. Voyons maintenant

Plus en détail

Quoi de neuf en LabVIEW FPGA 2010?

Quoi de neuf en LabVIEW FPGA 2010? Quoi de neuf en LabVIEW FPGA 2010? Yannick DEGLA Ingénieur d Application Fonctionnalités de LabVIEW FPGA 2010 Nœud d intégration d IP - Importer directement des fichiers.xco de Xilinx ou vos propres VHDL

Plus en détail

QUESTION 1 {2 points}

QUESTION 1 {2 points} ELE4301 Systèmes logiques II Page 1 de 8 QUESTION 1 {2 points} En se servant de paramètres électriques donnés dans le Tableau 1 ci-dessous, on désire déterminer la fréquence d opération du compteur présenté

Plus en détail

Les systèmes embarqués Introduction. Richard Grisel Professeur des Universités Université de Rouen Nacer Abouchi Professeur ESCPE Lyon

Les systèmes embarqués Introduction. Richard Grisel Professeur des Universités Université de Rouen Nacer Abouchi Professeur ESCPE Lyon Les systèmes embarqués Introduction Richard Grisel Professeur des Universités Université de Rouen Nacer Abouchi Professeur ESCPE Lyon Introduction aux systèmes embarqués Définition. Caractéristiques d

Plus en détail

Tutorial Cadence Virtuoso

Tutorial Cadence Virtuoso Tutorial Cadence Virtuoso (Les premiers pas) Cadence Virtuoso IC6.1.500.3 Design Kit AustriaMicroSystems (AMS) HIT-Kit 4.00 Process : c35b4c3 (0.35µm CMOS 4 métaux) Table des matières Login et ouverture

Plus en détail

Travaux pratiques avec RapidMiner

Travaux pratiques avec RapidMiner Travaux pratiques avec RapidMiner Master Informatique de Paris 6 Spécialité IAD Parcours EDOW Module Algorithmes pour la Fouille de Données Janvier 2012 Prise en main Généralités RapidMiner est un logiciel

Plus en détail

fullprotect inside EOLE SPEie RS E-SPEie 0.5-12-5V-0.6A-RS 1.0 revision Protection environnement Datasheet édition française

fullprotect inside EOLE SPEie RS E-SPEie 0.5-12-5V-0.6A-RS 1.0 revision Protection environnement Datasheet édition française Protection environnement Datasheet édition française 1.0 revision R-D-CO-D-27112011-1.0-C fullprotect inside SPEi Protection environnement interne SPEe Protection environnement externe SPEc Contrôle de

Plus en détail

Notice ARES Version 5.20 Française

Notice ARES Version 5.20 Française ARES -1/19 Notice ARES Version 5.20 Française Carlos Valente Technicien IUT LIMOGES Département Génie Electrique et informatique Industrielle 19100 Brive la gaillarde France. Page - 1/19 ARES -2/19 Routage

Plus en détail

Carte Relais GSM (Manuel Utilisateur)

Carte Relais GSM (Manuel Utilisateur) Carte Relais GSM (Manuel Utilisateur) Carte Relais GSM Introduction Cette carte est une véritable centrale de télécommande et d alarme par GSM. Elle se connecte par un port série à un modem GSM compatible

Plus en détail

Conférence sur les microcontroleurs.

Conférence sur les microcontroleurs. Conférence sur les microcontroleurs. Le microcontrôleur Les besoins et le développement. Vers 1970, pour des calculs (calculatrice). Le premier est le 4004 de Intel, 90K. La technologie. Les 2 principales

Plus en détail

Travaux pratiques. DECOUVERTE PDMLink - PTC

Travaux pratiques. DECOUVERTE PDMLink - PTC Travaux pratiques DECOUVERTE PDMLink - PTC Introduction au PLM L historique du PLM : Gestion des fichiers CAO Gestion de tout type de document (avec versionnement, nominatif ) Gestion du cycle de vie des

Plus en détail

Mes documents Sauvegardés

Mes documents Sauvegardés Mes documents Sauvegardés Guide d installation et Manuel d utilisation du logiciel Edition 13.12 Photos et illustrations : Copyright 2013 NordNet S.A. Tous droits réservés. Toutes les marques commerciales

Plus en détail

1 INSTALLATION DU LOGICIEL CGVCAM 4. 1.1 Télécharger et installer le logiciel 4. 1.2 Démarrer le logiciel 5 2 PRESENTATION DU LOGICIEL CGVCAM 5

1 INSTALLATION DU LOGICIEL CGVCAM 4. 1.1 Télécharger et installer le logiciel 4. 1.2 Démarrer le logiciel 5 2 PRESENTATION DU LOGICIEL CGVCAM 5 1 2 INDEX 1 INSTALLATION DU LOGICIEL CGVCAM 4 1.1 Télécharger et installer le logiciel 4 1.2 Démarrer le logiciel 5 2 PRESENTATION DU LOGICIEL CGVCAM 5 3 INSTALLER UNE CAMERA 6 4 DETECTION DE MOUVEMENT,

Plus en détail

Guide Utilisateur du robot humanoïde NAO

Guide Utilisateur du robot humanoïde NAO École Polytechnique de l Université de Tours 64, Avenue Jean Portalis 37200 TOURS, FRANCE Tél. +33 (0)2 47 36 14 14 www.polytech.univ-tours.fr Département Informatique 4 e année 2011-2012 Projet d Ingénierie

Plus en détail

domovea Portier tebis

domovea Portier tebis domovea Portier tebis SOMMAIRE SOMMAIRE Page 1. INTRODUCTION... 2 1.1 OBJET DU DOCUMENT... 2 2. VIDEO UNIQUEMENT (SANS SON), BASE SUR CAMERA IP... 3 2.1 ARCHITECTURE... 3 2.2 CONFIGURATION... 4 2.2.1 Creation

Plus en détail

RapidMiner. Data Mining. 1 Introduction. 2 Prise en main. Master Maths Finances 2010/2011. 1.1 Présentation. 1.2 Ressources

RapidMiner. Data Mining. 1 Introduction. 2 Prise en main. Master Maths Finances 2010/2011. 1.1 Présentation. 1.2 Ressources Master Maths Finances 2010/2011 Data Mining janvier 2011 RapidMiner 1 Introduction 1.1 Présentation RapidMiner est un logiciel open source et gratuit dédié au data mining. Il contient de nombreux outils

Plus en détail

Mini_guide_Isis.pdf le 23/09/2001 Page 1/14

Mini_guide_Isis.pdf le 23/09/2001 Page 1/14 1 Démarrer...2 1.1 L écran Isis...2 1.2 La boite à outils...2 1.2.1 Mode principal...3 1.2.2 Mode gadgets...3 1.2.3 Mode graphique...3 2 Quelques actions...4 2.1 Ouvrir un document existant...4 2.2 Sélectionner

Plus en détail

D-ViewCam V3.0 Video Management System

D-ViewCam V3.0 Video Management System D-ViewCam V3.0 Video Management System Aperçu du produit D-ViewCam est un logiciel de supervision de caméras video (VMS). D-ViewCam permet d enregistrer des video/audio et de visualiser jusqu à 32 caméras

Plus en détail

Développer des Applications Internet Riches (RIA) avec les API d ArcGIS Server. Sébastien Boutard Thomas David

Développer des Applications Internet Riches (RIA) avec les API d ArcGIS Server. Sébastien Boutard Thomas David Développer des Applications Internet Riches (RIA) avec les API d ArcGIS Server Sébastien Boutard Thomas David Le plan de la présentation Petit retour sur les environnements de développement ArcGIS Server

Plus en détail

SPECIFICATIONS TECHNIQUES : Gestion des Médicaments et des commandes de médicaments

SPECIFICATIONS TECHNIQUES : Gestion des Médicaments et des commandes de médicaments SPECIFICATIONS TECHNIQUES : Gestion des Médicaments et des commandes de médicaments Développement d une application en web/ client lourd en C# permettant la gestion des médicaments et des commandes de

Plus en détail

Profil UML pour TLM: contribution à la formalisation et à l automatisation du flot de conception et vérification des systèmes-sur-puce.

Profil UML pour TLM: contribution à la formalisation et à l automatisation du flot de conception et vérification des systèmes-sur-puce. INSTITUT NATIONAL POLYTECHNIQUE DE GRENOBLE N attribué par la bibliothèque T H È S E pour obtenir le grade de DOCTEUR DE L INPG Spécialité : «Micro et Nano Électronique» préparée au laboratoire CEA LIST/DTSI/SOL/LISE

Plus en détail

Configuration de routeur D-Link Par G225

Configuration de routeur D-Link Par G225 Configuration de routeur D-Link Par G225 Modèle : DIR-625 et DIR-628 Adresse du routeur par le navigateur internet : http://192.168.0.1/ Changer le mot de passe utilisateur du routeur Sans fil L'accès

Plus en détail

BIRT (Business Intelligence and Reporting Tools)

BIRT (Business Intelligence and Reporting Tools) BIRT (Business Intelligence and Reporting Tools) Introduction Cette publication a pour objectif de présenter l outil de reporting BIRT, dans le cadre de l unité de valeur «Data Warehouse et Outils Décisionnels»

Plus en détail

http://manageengine.adventnet.com/products/opmanager/download.html?free

http://manageengine.adventnet.com/products/opmanager/download.html?free Introduction Opmanager est un outil de supervision des équipements réseau. Il supporte SNMP, WMI et des scripts ssh ou Telnet pour récupérer des informations sur les machines. Toutefois les machines doivent

Plus en détail

GPA770 Microélectronique appliquée Exercices série A

GPA770 Microélectronique appliquée Exercices série A GPA770 Microélectronique appliquée Exercices série A 1. Effectuez les calculs suivants sur des nombres binaires en complément à avec une représentation de 8 bits. Est-ce qu il y a débordement en complément

Plus en détail

SoMachine. Solution logicielle pour votre architecture Machine Atelier de découverte. SoMachine

SoMachine. Solution logicielle pour votre architecture Machine Atelier de découverte. SoMachine Solution logicielle pour votre architecture Machine Atelier de découverte SoMachine Objectif de la manipulation Le programme à réaliser va permettre de gérer le remplissage et la vidange d une cuve en

Plus en détail

DATALOGGERS SEFRAM LOG1601 et LOG1620

DATALOGGERS SEFRAM LOG1601 et LOG1620 DATALOGGERS SEFRAM LOG1601 et LOG1620 Présentation des minis enregistreurs : Les minis enregistreurs SEFRAM LOG1601 et LOG1620 permettent d enregistrer des températures et un taux d humidité relative (LOG1620

Plus en détail

Initiation à Mendeley AUT2012

Initiation à Mendeley AUT2012 0. Trouver Mendeley... 2 1. Ouverture de l application Mendeley... 2 2. Saisie manuelle d une référence... 2 3. Inscrire une note liée à la référence... 2 4. Attacher un fichier à la référence... 2 5.

Plus en détail

Projet De Stijl Plate-forme pour robots mobiles

Projet De Stijl Plate-forme pour robots mobiles Projet De Stijl Plate-forme pour robots mobiles Guide de prise en main de Xenomai et des cibles Version : 22 janvier 2013 S. Di Mercurio, P.-E. Hladik Table des matières 1 Glossaire.........................................

Plus en détail

TABLE DES MATIÈRES 1. DÉMARRER ISIS 2 2. SAISIE D UN SCHÉMA 3 & ' " ( ) '*+ ", ##) # " -. /0 " 1 2 " 3. SIMULATION 7 " - 4.

TABLE DES MATIÈRES 1. DÉMARRER ISIS 2 2. SAISIE D UN SCHÉMA 3 & '  ( ) '*+ , ##) #  -. /0  1 2  3. SIMULATION 7  - 4. TABLE DES MATIÈRES 1. DÉMARRER ISIS 2 2. SAISIE D UN SCHÉMA 3! " #$ % & ' " ( ) '*+ ", ##) # " -. /0 " 1 2 " 3' & 3. SIMULATION 7 0 ( 0, - 0 - " - & 1 4. LA SOURIS 11 5. LES RACCOURCIS CLAVIER 11 STI Electronique

Plus en détail

VAMT 2.0. Activation de Windows 7 en collège

VAMT 2.0. Activation de Windows 7 en collège VAMT 2.0 Activation de Windows 7 en collège Rédacteurs : Jean-Laurent BOLLINGER Stéphan CAMMARATA Objet : Document décrivant la procédure d activation de Windows 7 avec VAMT 2.0 dans les collèges de l

Plus en détail

ASR1 TD7 : Un microprocesseur RISC 16 bits

ASR1 TD7 : Un microprocesseur RISC 16 bits {Â Ö Ñ º ØÖ Ý,È ØÖ ºÄÓ Ù,Æ ÓÐ ºÎ ÝÖ Ø¹ ÖÚ ÐÐÓÒ} Ò ¹ÐÝÓÒº Ö ØØÔ»»Ô Ö Óº Ò ¹ÐÝÓÒº Ö» Ö Ñ º ØÖ Ý»¼ Ö½» ASR1 TD7 : Un microprocesseur RISC 16 bits 13, 20 et 27 novembre 2006 Présentation générale On choisit

Plus en détail

Tutoriel première utilisation ICEM-CFD. Couche limite et modification du maillage en 2D

Tutoriel première utilisation ICEM-CFD. Couche limite et modification du maillage en 2D Tutoriel première utilisation ICEM-CFD Couche limite et modification du maillage en 2D Création de points, lignes, surfaces, ajout d un trou à la surface pour simuler le comportement de l écoulement autour

Plus en détail

ET 24 : Modèle de comportement d un système Introduction à Labview et initiation à la réalisation d un Instrument Virtuel (VI).

ET 24 : Modèle de comportement d un système Introduction à Labview et initiation à la réalisation d un Instrument Virtuel (VI). ET 24 : Modèle de comportement d un système Introduction à Labview et initiation à la réalisation d un Instrument Virtuel (VI). Sciences et Technologies de l Industrie et du Développement Durable Formation

Plus en détail

Introduction à Eclipse

Introduction à Eclipse Introduction à Eclipse Eclipse IDE est un environnement de développement intégré libre (le terme Eclipse désigne également le projet correspondant, lancé par IBM) extensible, universel et polyvalent, permettant

Plus en détail

TUTORIEL Qualit Eval. Introduction :

TUTORIEL Qualit Eval. Introduction : TUTORIEL Qualit Eval Introduction : Qualit Eval est à la fois un logiciel et un référentiel d évaluation de la qualité des prestations en établissements pour Personnes Agées. Notre outil a été spécifiquement

Plus en détail

Installation d un serveur virtuel : DSL_G624M

Installation d un serveur virtuel : DSL_G624M Installation d un serveur virtuel : DSL_G624M Les images suivantes varient selon les appareils utilisés Employer le menu des Servers virtuels pour faire des configurations dans le routeur modem. Les Serveurs

Plus en détail

DEVAKI NEXTOBJET PRESENTATION. Devaki Nextobjects est un projet sous license GNU/Public.

DEVAKI NEXTOBJET PRESENTATION. Devaki Nextobjects est un projet sous license GNU/Public. DEVAKI NEXTOBJET 1 Présentation...2 Installation...3 Prérequis...3 Windows...3 Linux...3 Exécution...4 Concevoir une BDD avec Devaki NextObject...5 Nouveau MCD...5 Configurer la connexion à la base de

Plus en détail

SCL LOGICIEL DE CONTROL

SCL LOGICIEL DE CONTROL SCL LOGICIEL DE CONTROL Version 1.3 MRC AUDIO LD- 500 www.mrcaudio.com 1 Contenu 1 - Bienvenu a MRC AUDIO SCL v1.3 2 - Installation du logiciel 3 - Configuration du programme SCL 4 - Contrôle des installations

Plus en détail

Groupe Eyrolles, 2003, ISBN : 2-212-11317-X

Groupe Eyrolles, 2003, ISBN : 2-212-11317-X Groupe Eyrolles, 2003, ISBN : 2-212-11317-X 3 Création de pages dynamiques courantes Dans le chapitre précédent, nous avons installé et configuré tous les éléments indispensables à la mise en œuvre d une

Plus en détail

Une ergonomie intuitive

Une ergonomie intuitive Une ergonomie intuitive Les solutions de la ligne PME offrent une interface de travail proche des usages quotidiens en informatique. Leur ergonomie intuitive facilite la prise en main du logiciel. Une

Plus en détail

>> Lisez-moi d abord... Connecter le ZyXEL Prestige 650HW/HW-I

>> Lisez-moi d abord... Connecter le ZyXEL Prestige 650HW/HW-I >> Lisez-moi d abord... Connecter le ZyXEL Prestige 650HW/HW-I Étendue de la livraison * Mise en exploitation 1 Câble de raccordement Ethernet (patchcable) pour connexion à l ordinateur ou connexion au

Plus en détail

Conception et Intégration de Systèmes Critiques

Conception et Intégration de Systèmes Critiques Conception et Intégration de Systèmes Critiques 15 12 18 Non 50 et S initier aux méthodes le développement de projet (plan de développement, intégration, gestion de configuration, agilité) Criticité temporelle

Plus en détail

et dépannage de PC Configuration Sophie Lange Guide de formation avec exercices pratiques Préparation à la certification A+

et dépannage de PC Configuration Sophie Lange Guide de formation avec exercices pratiques Préparation à la certification A+ Guide de formation avec exercices pratiques Configuration et dépannage de PC Préparation à la certification A+ Sophie Lange Troisième édition : couvre Windows 2000, Windows XP et Windows Vista Les Guides

Plus en détail

Getting Started. 10 étapes pour bien démarrer. Avant de démarrer. Première connexion PCC

Getting Started. 10 étapes pour bien démarrer. Avant de démarrer. Première connexion PCC 10 étapes pour bien démarrer En suivant les différentes étapes de ce guide, vous vous familiariserez avec l interface d administration de votre Private Cloud. Quelques minutes vous suffiront pour déployer

Plus en détail

Le serveur web Windows Home Server 2011

Le serveur web Windows Home Server 2011 Chapitre 16 Le serveur web Windows Home Server 2011 Windows Home Server 2011, directement dérivé de Windows Server 2008 R2, utilise la même technologie Internet Information Services IIS pour la mise en

Plus en détail

Institut d Informatique & d Initiative Sociale

Institut d Informatique & d Initiative Sociale Institut d Informatique & d Initiative Sociale 89, Bd Aristide Briand 13300 Salon de Provence Tél : 04 90 56 18 31 Fax : 04 90 56 91 66 e-mail : contact@3is-formation.eu 3IS Centre de formation agréé code

Plus en détail

White Paper - Livre Blanc

White Paper - Livre Blanc White Paper - Livre Blanc Développement d applications de supervision des systèmes d information Avec LoriotPro Vous disposez d un environnement informatique hétérogène et vous souhaitez à partir d une

Plus en détail

TP1 : Initiation à Java et Eclipse

TP1 : Initiation à Java et Eclipse TP1 : Initiation à Java et Eclipse 1 TP1 : Initiation à Java et Eclipse Systèmes d Exploitation Avancés I. Objectifs du TP Ce TP est une introduction au langage Java. Il vous permettra de comprendre les

Plus en détail

ELP 304 : Électronique Numérique. Cours 1 Introduction

ELP 304 : Électronique Numérique. Cours 1 Introduction ELP 304 : Électronique Numérique Cours 1 Introduction Catherine Douillard Dépt Électronique Les systèmes numériques : généralités (I) En électronique numérique, le codage des informations utilise deux

Plus en détail

ET 24 : Modèle de comportement d un système Boucles de programmation avec Labview.

ET 24 : Modèle de comportement d un système Boucles de programmation avec Labview. ET 24 : Modèle de comportement d un système Boucles de programmation avec Labview. Sciences et Technologies de l Industrie et du Développement Durable Formation des enseignants parcours : ET24 Modèle de

Plus en détail

Hiérarchie matériel dans le monde informatique. Architecture d ordinateur : introduction. Hiérarchie matériel dans le monde informatique

Hiérarchie matériel dans le monde informatique. Architecture d ordinateur : introduction. Hiérarchie matériel dans le monde informatique Architecture d ordinateur : introduction Dimitri Galayko Introduction à l informatique, cours 1 partie 2 Septembre 2014 Association d interrupteurs: fonctions arithmétiques élémentaires Elément «NON» Elément

Plus en détail

SQL Server Installation Center et SQL Server Management Studio

SQL Server Installation Center et SQL Server Management Studio SQL Server Installation Center et SQL Server Management Studio Version 1.0 Grégory CASANOVA 2 SQL Server Installation Center et SQL Server Management Studio [03/07/09] Sommaire 1 Installation de SQL Server

Plus en détail

MANUEL D UTILISATION PRO-FACE

MANUEL D UTILISATION PRO-FACE MANUEL D UTILISATION PRO-FACE SOMMAIRE Chapitre 1 Procédure d utilisation 1. En créant un écran seul..... 2. En créant un écran plus un programme logique.. 1-1 1-2 Chapitre 2 Du début à la fin 1. Guide.....

Plus en détail

RX3041. Guide d'installation rapide

RX3041. Guide d'installation rapide RX3041 Guide d'installation rapide Guide d'installation rapide du routeur RX3041 1 Introduction Félicitations pour votre achat d'un routeur RX3041 ASUS. Ce routeur, est un dispositif fiable et de haute

Plus en détail

Parrot 3200LS-Color 3400LS-GPS - - - - - -

Parrot 3200LS-Color 3400LS-GPS - - - - - - - - - - - - Procédure de mise à jour soft Parrot 3200LS-Color 3400LS-GPS - - - - - - Ce document vous guidera pour la mise à jour de votre kit mains libres par Bluetooth. Une mise à jour est nécessaire

Plus en détail

CAMERA DOME AMELIORÉE DE SURVEILLANCE EN RÉSEAU GUIDE D INSTALLATION

CAMERA DOME AMELIORÉE DE SURVEILLANCE EN RÉSEAU GUIDE D INSTALLATION CAMERA DOME AMELIORÉE DE SURVEILLANCE EN RÉSEAU GUIDE D INSTALLATION Veuillez lire les instructions minutieusement avant l utilisation et les conserver pour leur consultation future. 1. VUE D ENSEMBLE

Plus en détail

Chapitre 1 : Introduction aux méthodologies de conception et de vérification pour SE

Chapitre 1 : Introduction aux méthodologies de conception et de vérification pour SE Chapitre 1 : Introduction aux méthodologies de conception et de vérification pour SE 1. Rappel de ce qu est un SE 2. Conception au niveau système (ESL) Méthodologie de conception (codesign logiciel/matériel)

Plus en détail

Millenium3 Atelier de programmation

Millenium3 Atelier de programmation Millenium3 Millenium 3 Millenium3 1. Aide en ligne CLSM3... 2 1.1 Présentation de l'atelier de programmation... 2 1.1.1 Présentation de l'atelier de programmation... 2 1.2 Comment débuter avec l'atelier

Plus en détail

IV- Comment fonctionne un ordinateur?

IV- Comment fonctionne un ordinateur? 1 IV- Comment fonctionne un ordinateur? L ordinateur est une alliance du hardware (le matériel) et du software (les logiciels). Jusqu à présent, nous avons surtout vu l aspect «matériel», avec les interactions

Plus en détail

MANUEL D INSTALLATION

MANUEL D INSTALLATION Data Processing Commission Fast Advanced Software for Table soccer - v 1.0 Logiciel de gestion de tournoi de football de table MANUEL D INSTALLATION INSTALLATION INFORMATIQUE DE LA TABLE DE MARQUE & CONFIGURATION

Plus en détail

Whitepaper. Méthodologie de création de rapports personnalisés SQL Server Reporting Services

Whitepaper. Méthodologie de création de rapports personnalisés SQL Server Reporting Services Ce Whitepaper décrit la méthodologie de développement d un rapport personnalisé au format SQL Server Reporting Service (SSRS) appliqué à System Center Operations Manager (SCOM) Whitepaper Méthodologie

Plus en détail

Installation et prise en main

Installation et prise en main TP1 Installation et prise en main Android est le système d'exploitation pour smartphones, tablettes et autres appareils développé par Google. Pour permettre aux utilisateurs d'installer des applications

Plus en détail

Création du projet : 1 sur 13

Création du projet : 1 sur 13 Prise en main rapide de Vijéo Designer 5.0 Configuration de la communication API et pupitre sur Ethernet page1 API et pupitre sur Série page3 XBTGT1130 avec liaison ETHERNET API et PC Création du projet

Plus en détail

Alcatel OmniPCX Enterprise TSC-IP V1 (4098RE)

Alcatel OmniPCX Enterprise TSC-IP V1 (4098RE) Alcatel OmniPCX Enterprise TSC-IP V1 (4098RE) NOTE : Les spécifications Produit contenues dans ce document peuvent évoluer sans information préalable. Les produits et services décrits dans ce document

Plus en détail

Fiche technique CPU 314SC/DPM (314-6CG13)

Fiche technique CPU 314SC/DPM (314-6CG13) Fiche technique CPU 314SC/DPM (3146CG13) Données techniques N de commande 3146CG13 Type CPU 314SC/DPM Information générale Note Caractéristiques SPEEDBus Technologie SPEED7 24 x DI, 16 x DO, 8 x DIO, 4

Plus en détail

ZOTERO. Installation. Bibliothèque de Pharmacie. Service Formation

ZOTERO. Installation. Bibliothèque de Pharmacie. Service Formation ZOTERO Bibliothèque de Pharmacie. Service Formation Zotero est un logiciel de gestion de références bibliographiques, gratuit et open source. Il permet de de créer et gérer une base de données de références

Plus en détail

Syfadis. > Configuration du poste client. Nous vous aidons à réussir. REFERENCE : Syfadis LMS - 20/06/2007. AUTEUR : Equipe technique Syfadis

Syfadis. > Configuration du poste client. Nous vous aidons à réussir. REFERENCE : Syfadis LMS - 20/06/2007. AUTEUR : Equipe technique Syfadis Syfadis Nous vous aidons à réussir > Configuration du poste client REFERENCE : Syfadis LMS - 20/06/2007 AUTEUR : Equipe technique Syfadis Ce document est la propriété de Syfadis. Il ne peut être communiqué

Plus en détail

PARTAGER UN ANNUAIRE COLLECTIF DE SIGNETS AVEC DEL.ICIO.US

PARTAGER UN ANNUAIRE COLLECTIF DE SIGNETS AVEC DEL.ICIO.US PARTAGER UN ANNUAIRE COLLECTIF DE SIGNETS AVEC DEL.ICIO.US Introduction Pourquoi utiliser DEL.ICIO.US? Cet outil permet à plusieurs personnes de partager la même liste de sites favoris et ce sur n importe

Plus en détail

Serveur d'application Client HTML/JS. Apache Thrift Bootcamp

Serveur d'application Client HTML/JS. Apache Thrift Bootcamp Serveur d'application Client HTML/JS Apache Thrift Bootcamp Pré-requis La liste ci-dessous de logiciels doit être installée et opérationnelle sur la machine des participants : Compilateur thrift http://thrift.apache.org/

Plus en détail

Créer une base de données vidéo sans programmation (avec Drupal)

Créer une base de données vidéo sans programmation (avec Drupal) Créer une base de données vidéo sans programmation (avec Drupal) 10.10.2013 Nicolas Bugnon (nicolas.bugnon@alliancesud.ch) Centre de documentation Alliance Sud www.alliancesud.ch Résultat de l atelier

Plus en détail

TP Blender n 2 : Importation d un modèle SketchUp et animation

TP Blender n 2 : Importation d un modèle SketchUp et animation TP Blender n 2 : Importation d un modèle SketchUp et animation Service de Conception Géométrique Université de Liège Aérospatiale et Mécanique Conçu avec Blender 2.66 et SketchUp 8 De SketchUp à Blender

Plus en détail

ESPACE COLLABORATIF SHAREPOINT

ESPACE COLLABORATIF SHAREPOINT Conseil de l Europe Service des Technologies de l Information ESPACE COLLABORATIF SHAREPOINT DOSSIER D UTILISATEUR 1/33 Sommaire 1. Présentation de SharePoint... 3 1.1. Connexion... 4 2. Les listes...

Plus en détail

Introduction à l architecture des ordinateurs. Adrien Lebre Décembre 2007

Introduction à l architecture des ordinateurs. Adrien Lebre Décembre 2007 Introduction à l architecture des ordinateurs Adrien Lebre Décembre 2007 Plan - partie 1 Vue d ensemble La carte mère Le processeur La mémoire principal Notion de bus Introduction à l architecture des

Plus en détail

Transmission d informations sur le réseau électrique

Transmission d informations sur le réseau électrique Transmission d informations sur le réseau électrique Introduction Remarques Toutes les questions en italique devront être préparées par écrit avant la séance du TP. Les préparations seront ramassées en

Plus en détail

ARDUINO DOSSIER RESSOURCE POUR LA CLASSE

ARDUINO DOSSIER RESSOURCE POUR LA CLASSE ARDUINO DOSSIER RESSOURCE POUR LA CLASSE Sommaire 1. Présentation 2. Exemple d apprentissage 3. Lexique de termes anglais 4. Reconnaître les composants 5. Rendre Arduino autonome 6. Les signaux d entrée

Plus en détail

Début de la procédure

Début de la procédure Service informatique Introduction au VPN sous Windows 7 Ce document décrit comment configurer votre ordinateur personnel pour pouvoir accéder au serveur d archives médicales du collège. Il contient deux

Plus en détail