Conception Systèmes numériques VHDL et synthèse automatique des circuits

Dimension: px
Commencer à balayer dès la page:

Download "Conception Systèmes numériques VHDL et synthèse automatique des circuits"

Transcription

1 Année Conception Systèmes numériques VHDL et synthèse automatique des circuits Travaux pratiques WIDEMACV1 LAAS-CNRS 2011 Présentation du simulateur VHDL sous environnement Cadence Présentation de l outil Synopsys Design Compiler pour la synthèse de circuits Présentation de l outil de Placement & Routage SoC Encounter de CADENCE Daniela Dragomirescu et Thomas Beluch Utilisation du design-kit AMS 3.70 en technologie de 0.35um

2 Cycle de conception Simulation du code VHDL IUS 82 Cadence Commande nclaunch hdl.var cds.lib Synthèse automatique du circuit correspondant au code VHDL Synopsys Commande design_vision.synopsys_dc.setup Counter.vhd - format VHDL Counter.v - format Verilog Counter.db - format DataBase Simulation du fichier après synthèse IUS 82 Cadence Commande nclaunch hdl.var cds.lib Librairie AMS µm Placement & routage du circuit synthétisé SoC Encounter Cadence Commande velocity Simulation du circuit placé et routé Backannotation IUS82 Cadence Commande nclaunch hdl.var cds.lib Visualisation du layout Cadence Virtuoso Layout Commande icfb cds.lib Vérification des règles technologiques du layout Cadence Virtuoso Layout DRC design rules check

3 Structure de répertoires de travail : Lancez le script install_vhdl_ams_2010 qui se trouve dans le répertoire ~daniela : ~daniela/install_vhdl_2011 Ce script va créer la structure suivante de répertoires et il va configurer votre environnement pour travailler avec CADENCE et SYNOPSYS. VHDL SIMU_FONCTIONNELLE Répertoire pour simulation VHDL Fichiers de configuration : Cds.lib hdl.var SYNTHESE Répertoire pour la synthèse Fichier de configuration :.synopsys_dc.setup PR Répertoire pour le placement routage SIMU_APRES_SYNTHESE Répertoire pour la simulation après synthèse Fichiers de configuration : Cds.lib hdl.var Note : L'éditeur de texte se lance avec la commande nedit. SIMU_APRES_PR Répertoire pour la simulation après placement routage Backannotation Fichiers de configuration : Cds lib hdl var

4 Première partie : Réalisation d un compteur programmable 8 bits Il faut écrire le code VHDL décrivant le comportement d un compteur 8 bits. Ce compteur a les signaux suivants : CK - horloge. RST - reset signal de remise à zéro du compteur synchrone avec l horloge (actif bas). LOAD - signal de commande synchrone de chargement du compteur (actif haut). SENS - à l état bas, le circuit décrément à chaque transition montante de l horloge, - à l état haut, le circuit incrément. EN - enable permet au compteur de compter s il est à la masse (actif bas) Din - donnée à charger dans le compteur (sur 8 bits) quand la commande LOAD est active CK RST SENS LOAD Din Compteur 8 bits Dout Dout - sortie sur 8 bits EN Les signaux CK, SENS, RST et LOAD sont du type std_logic. Les signaux Din et Dout sont des std_logic_vector ( 7 downto 0). Ecrire le programme de test de ce compteur. Simuler (simulation fonctionnelle) Faire la synthèse de ce code VHDL et la simulation du compteur 8 bits synthétisé. Comparer avec la simulation du code VHDL du compteur. Effectuez le placement routage. Extraire le fichier contenant les retards de propagation dus aux éléments parasites RC de lignes (fichier.sdf). Backannotation : faire la simulation du compteur placé et routé en utilisant le fichier VHDL du compteur synthétisé et le fichier.sdf extrait auparavant, contenant les retards de propagation sur les lignes du circuit intégré.

5 Deuxième partie : Contrôleur Ethernet Suivre tout le flot de conception précèdent (simulation fonctionnelle, synthèse, simulation après synthèse, placement routage, backannotation, layout, DRC) jusqu'au layout Simulation VHDL sous environnement Cadence Placez-vous dans le répertoire VHDL/SIMU_FONNCTIONNELLE Lancez l environnement IUS du Cadence 5.1: ius IUS82) Lancez le simulateur VHDL de Cadence avec la commande : nclaunch & La simulation en tant que tel n est que le résultat de 3 étapes à effectuer dans l ordre sur votre code VHDL. - la compilation : transforme le code RTL (VHDL / Verilog / System Verilog) dans un langage spécifique au simulateur et compilable uniquement par ce dernier. Cette étape vérifie la syntaxe et les erreurs à l intérieur d un module uniquement!! - l élaboration : assemble ces fichiers transformés, et créé un exécutable qui contient votre circuit compilé, ainsi que des interfaces logicielles pour simuler les évènements, et la notion de temps. Cette étape vérifie également les liaisons entre modules, et surtout la cohérence de celles ci (les différences de tailles de ports par exemple, ou des ports manquants) - La simulation : exécute le fichier élaboré, et affiche les entrées sorties de ce dernier. Il est possible de scripter cette étape afin de transformer le résultat en un rapport de test. 1. Compilation Compile VHDL

6 Menu TOOLS VHDL Compiler selectionner ENABLE VHDL93 features Sélectionnez votre fichier VHDL counter.vhd et lancez la compilation Compile VHDL Sélectionnez ensuite votre fichier de test : test_counter.vhd Compile VHDL 2. Elaboration b) Configuration définie à l intérieur de l architecture (appelé bench) de votre entité de test test_counter test_counter bench Elaborate Design Elaborate Design

7 3. Simulation Sélectionnez dans le dossier Snapshot travail.test_counter :bench Ensuite cliquez sur le bouton Simulate Design. Simulate Design Ensuite le simulateur de VHDL, Sim Vision va être lancé :

8 Sélectionnez les signaux : Select Signals ou bouton gauche souris Cliquez ensuite sur l icône représentant les chronogrammes pour visualiser les signaux. Etablir le temps total de la simulation : Simulation Set Breakpoint Time (environ 500 ns) Lancez la simulation!

9 Le résultat de la simulation, c est-à-dire le fonctionnement du compteur est visualisé par l évolution des signaux. Si le fonctionnement de votre circuit n est pas correct il faut modifier le code VHDL et refaire la compilation, élaboration et la simulation ou utiliser Simulation Reinvoke du simulateur NCSIM

10 SYNTHESE DES CIRCUITS NUMERIQUES COMPLEXES Travaux pratiques 1. Présentation de l outil Synopsys Design Compiler pour la synthèse de circuits à partir de code VHDL 2. Optimisation du chemin critique dans les circuits numériques

11 Présentation de l outil Synopsys Design Compiler pour la synthèse de circuits à partir de code VHDL A mettre dans le rapport final : - Réaliser un script qui contient toutes les commandes que vous avez generé à travers le menu (voir log ou history) On va se placer dans le répertoire VHDL/SYNTHESE. On lance l environnement AMS qui installe le chemin d accès vers le design kit AMS : > ams AMS370+CADENCE51 ) On lance l environnement SYNOPSYS avec la commande : > synopsys synopsys11 Ensuite on lance DESIGN COMPILER qui est l outil de synthèse automatique des circuits à partir d un modèle VHDL avec la commande : ou design_vision & dc_shell -gui

12 On va réaliser l importation du fichier VHDL dont on veut faire la synthèse : File Read On choisit le fichier VHDL (counter.vhd ou ethernet.vhd) qui se trouve dans le répertoire VHDL. Si l entité et l architecture se trouve dans 2 fichiers sépares il faut faire : File Analyze pour le fichier de l entité et ensuite pour celui de l architecture en choisissant la librairie du travail WORK et le FILE FORMAT=VHDL. Ensuite il faut faire : File Elaborate En choisissant dans la librairie WORK l entité avec son architecture. Ces 2 commandes ( File Analyze suivi de File Elaborate) sont équivalents à File Read. La commande File Read s utilise quand l entité et l architecture se trouve dans le même fichier. Les commandes File Analyze suivi de File Elaborate s utilise quand l entité et l architecture se trouvent dans 2 fichiers sépares. Donc nous venons de réaliser l importation du fichier VHDL dont on veut réaliser la synthèse. Il est impérative d indiquer à l outil de synthèse quel est l horloge. De cette manière, l outil de synthèse pourra ensuite faire de statistiques correctes en ce qui concerne la propagation des signaux et déterminer le chemin critique et donc la fréquence maximale de fonctionnement. Attributes Clock Specify Cliquez avec la souris sur le pin CK (le pin d horloge), donner une périodes estimative de 10 ns (par exemple). Cette commande n est pas nécessaire pour la synthèse proprement dite du circuits mais pour que l outil de synthèse soit capable de déterminer correctement les temps de propagations et donc la fréquence maximale de fonctionnement. ATTENTION : Si cette commande n est pas faite avant la synthèse le logiciel indiquera de chemins critiques faux. Nous avons la possibilité d optimiser la synthèse du circuit par rapport à sa taille ou au«timming», On peut aussi imposer le fan-out de portes utiliser dans notre circuit. Attributes Design Constraints Choisir l optimisation voulue. Pour faire la synthèse proprement dite: Design Compile Design

13 La synthèse automatique du circuit à partir d un modèle VHDL est faite. Pour un outil de synthèse plus performant et plus rapide vous devez utiliser la commande : Design Compile Ultra Pour voir le composant on clique sur le bouton qui représente un composant vert dans la barre d outils. Pour voir la description au niveau RTL on click sur le symbole de porte logique orange, toujours dans la meme barre. Nous remarquons que dans ce design nous avons des niveaux hiérarchiques. Pour mettre le circuit «à plat», enlever les niveaux hiérarchiques on va cliquer sur Ungroup

14 Optimisation du chemin critique On spécifie une période d horloge assez faible compte tenu de notre circuit (par exemple 100 MHz pour le compteur) Attributes Specify Clock 10 ns On relance la synthèse a partir du circuit déjà synthétise. Design Compile Design Map Effort High Incremental Mapping (on part du circuit déjà synthétise et on optimise) OK Synopsys Design Compiler va resynthétiser en essayant d obtenir un chemin critique inferieur à la période d horloge que nous avons spécifié. On peut faire cette opération plusieurs fois afin d obtenir le circuit optimise ayant une fréquence d horloge maximale. Par exemple pour un circuit plus complexe comme un microprocesseur on peut faire plusieurs itérations : T CLK = 50 ns, T CLK = 20 ns, T CLK = 10 ns, T CLK = 5 ns OBS : Le chemin critique ne sera pas forcement le même. Pour T CLK = 50 ns on aura un chemin critique que ensuite sera optimise pour arriver à fonctionner à T CLK = 20 ns, donc c est probable que à T CLK = 20 ns on aura un autre chemin critique.

15 Préparation des fichiers de sortie après synthèse : On va sauvegarder le fichier en TROIS formats : - VHDL (pour la simulation après synthèse), - Verilog (pour rentrer sous SoC Encounter) - Data base (pour pouvoir relire le fichier synthétisé sous Synopsys, si besoin). File Save as Il faut sauvegarder maintenant les informations de timming : les retards de propagation des signaux dans le circuit dans un fichier de type SDF (Standard Delay Format). Ces retards dépendent de temps de propagation dans les portes logiques et les temps de set-up et hold des bascules. Dans la console il faut taper la commande : write_sdf counter.sdf pour le compteur write_sdf ethernet.sdf pour le contrôleur Ethernet Format SDF (Standard Delay Format)

16 Simulation après synthèse Compilation du Design kit Lancez la commande : ius ius82 nclauch & Vous aller en Sources_DK vous sélectionner d abord le fichier c35_corelib_vtables.vhd et vous lancez avec le bouton droit de la souris NCVHDL. Choisir comme Work Library c35_corelib Cocher Enable VHDL 93 features Cliquer sur OK. Ensuite répéter la même opération pour le fichier c35_corelib_3b_vcomponents.vhd en faisant attention a bien mettre comme Work Library c35_corelib Ensuite répéter la même opération pour le fichier c35_corelib_3b_vital.vhd en faisant attention a bien mettre comme Work Library c35_corelib Maintenant vous avez le Design Kit AMS 0.35um compilé dans le répertoire c35_corelib et vous pouvez effectuer votre simulation après synthèse. Simulation Copier le fichier de test de votre design VHDL du répertoire VHDL dans le répertoire SYNTHESE. Ouvrir le fichier counter.vhd ou ethernet.vhd du répertoire SYNTHESE qui est le fichier après synthèse et regarder le nom de la nouvelle architecture (SYN_votre_nom_d architecture). Dans le fichier de test du compteur (répertoire SYNTHESE), dans la configuration, changer le nom de l architecture du compteur avec celui de l architecture du compteur après synthèse (SYN_votre_nom_d architecture). Lancer un nouveau shell xterm. Se placer dans le répertoire SIMU_APRES_SYNTHESE. Lancer l environnement IUS8,2 : ius IUS82 Lancez le simulateur VHDL comme décrit auparavant. nclaunch & Copier dans le repertoire SIMU_APRES_SYNTHESE les fichiers : - counter.vhd / ethernet.vhd- le compteur synthétisé (il se trouve dans le répertoire SYNTHESE) - test-counter.vhd / test_ethernet.vhd votre fichier de test déjà écrit

17 - counter.sdf / ethernet.sdf le fichier de retards de propagation dans les portes ; il se trouve dans le répertoire SYNTHESE Sélectionnez : counter.vhd ou ethernet.vhd Compile VHDL test_counter.vhd ou test_ethernet.vhd Compile VHDL counter.sdf ou ethernet.sdf Tools SDF Compiler Un fichier counter.sdf.x ou ethernet.sdf.x a été créé dans le répertoire SIMU_APRES_SYNTHESE. Revenez sur NCLAUNCH. Sélectionnez la l architecture du fichier de test et lancez l élaboration à travers le menu Tools Elaborator Cliquez sur la rubrique Advanced Options de la fenêtre Elaborate. Dans la nouvelle fenêtre choisissez Annotation Use SDF command file et écrivez l option suivante : counter.sdf_cmd ou ethernet.sdf_cmd Créer le fichier de commande counter.sdf_cmd ( t counter.sdf_cmd ) avec les lignes suivantes COMPILED_SDF_FILE= "counter.sdf.x", SCOPE = : écrivez le label d instanciation du composant ; Représente le point d entrée du SDF pour le composant à tester Sinon vous pouvez créer le fichier de commande sdf en utilisant directement le menu annotation. On vous recommande cette deuxième solution. Lancer Elaborate Design. Sélectionnez le fichier dans le snapshot et lancer la simulation Simulate Design

18 Simulation après synthèse. On remarque le retard dû aux portes logiques qui est d environ 1.9 ns pour cette sortie. Simulation du code VHDL écrit par nous. Pas de retard entre l horloge et l affichage des données en sortie. Dans la simulation après synthèse, remarquez vous d états aléatoires (glitch)? Sont-elles gênantes?

19 Placement et Routage Travaux pratiques Présentation de l outil de Placement & Routage SoC Encounter sous environnement CADENCE

20 Placement et routage Se placer dans le répertoire PR (prendre un nouveau shell - xterm ). Installer l environnement nécessaire avec les commandes: > soc810 > ams > ams_encounter tech c35b4 Copier votre fichier counter.v (format Verilog) qui a été créé suite à la synthèse du code VHDL dans le repertoire PR. Le fichier counter.v se trouve dans le répertoire VHDL/SYNTHESE. Dans le cadre de ce TP nous allons utiliser la librairie AMS Les composants de cette librairie sont réalisés dans une technologie 0.35 µm. Cette technologie peut avoir jusqu a 4 niveaux de métal. L avantage major de cette librairie est qu elle nous permet d avoir la vue complète de tous les niveaux de layout pour chaque cellule de la librairie(porte AND, NOR, bascule D, etc.). Commençons le Placement et le routage du compteur synthétisé. Lancez le logiciel SoCencounter : > velocity 1. Design Design Import Dans Design Import LOAD le fichier de configuration : c35b4_std.conf Dans Design import File : counter.v et TOP Cellule : counter Dans Design import Advanced -->Power Laissez seulement vdd! et gnd! 2. Initialisation de votre design. Floorplan Specify FloorPlan

21 Donnez des valeur pour «Core Utilisation, «Core to IO Boundary». Un exemple des valeurs est présenté ci-dessous : Core Utilisation 85% IO to Core Distance 20 microns pour left/right et top/bottom Cliquez sur les options (par default): Floorplan --> Specify Floorplan -->Advanced Double back rows qui permet de retourner les rangés des cellules afin d utiliser la même ligne de masse ou de VDD entre 2 rangés consécutives le petit carré dans un coin de chaque cellule permet de connaître son orientation. Dans la capture ci dessous le mode double back est activé. Cliquez ensuite sur OK. Votre «floorplan» a été initialisé. 3. Routage des interconnexions de masse et alimentation (VDD) Power Power Planning Add Rings

22 Nous allons placer la masse du circuit et l alimentation en utilisant les paramètres montres dans la figure au-dessus. Nous allons placer l anneau de masse et celui d alimentation VDD, on va utiliser du MET1 pour les lignes horizontales et du MET2 pour les 2 lignes verticales de l anneau. On donne aux lignes de masse et d alimentation une largeur de 4µm et un espacement (spacing) de 4 µm. 4. Connecter l alimentation et la masse : Route Special Route 5. Placer les cellules.

23 Place Standard Cells OK Cliquez sur l icône : Physical View ( en haut à droite) 6. Routage Le pas suivant est le routage des interconnexions entre cellules : Route NanoRoute Route OK 7. Introduction arbre d horloge

24 Timing Analyze timing Pre-CTS (obs : nous n avons pas introduit de fichier de contrainte temporaire quand nous avons importé le design) Clock Design clock --> Gen specifications Clk buffers et clk buff inversé Clock Create Clock Tree Spec Clock specify clock tree Clock Synthesize clock tree Timing Timing analysis Pre-CTS Visualisation de l horloge : Lancez Design Browser Nets Clock Votre design est fini et doit ressembler à la figure suivante :

25 8. Vérification : Verify Verify Geometry Verify Verify Conectivity 9. Save le design placé et routé Sauvegardez le fichier en lui donnant le nom : counter_placed_routed. Design Save Nous allons sauvegarder le compteur placé et routé en format DEF (Design Exchange Format) en utilisant la commande : Design Save As DEF On sauve sur le nom counter.def (par exemple). Ce fichier va nous permettre d importer le design de notre compteur en Virtuoso Layout de Cadence afin de visualiser le circuit dans toute sa complexité (tous les niveaux de layout). Préparation des fichiers pour la backannotation après placement & routage

26 Nous allons préparer les fichiers nous permettant de voir le retard introduit par les interconnexions et les éléments parasites RC. Timing Extract RC Sélectionnez tout OK Timing Calculate Delay Donnez au fichier le nom conter.sdf!!!! Le fichier pour backannotation a été préparé. On quitte SoC Encounter : File Exit Importation du design sous Virtuoso Layout

27 Lancez un nouveau shell (xterm). Créer un répertoire LAYOUT : mkdir LAYOUT cd LAYOUT Nous allons lancez l environnement AMS (installation du chemin d accès vers le design kit AMS360 nécessaire à la visualisation du layout avec la commande : > ams Ensuite on lance l outil de Cadence pour la conception des circuits intégrés ICFB (Integrated Circuit Front-End Back-End) avec la commande : ams_cds mode fb tech c35b4 On lance le manager de librairies : Tools Library Manager counterse et on sélection la librairie que nous avons crée avec Silicon Ensemble lors de l importation du fichier Verilog obtenu après synthèse (librairie counterse voir l exemple plus haut). A l intérieur de cette librairie on retrouve bien notre design du compteur avec une vue HDL.

28 Tout d abord nous allons attacher cette librairie à la librairie technologique qui contient les layers physiques de la technologie utilisé et les propriétés de ces layers (par exemple : layer diffusion, implantation N, poly, etc). Dans la fenêtre icfb on choisi le menu : Tools Technology File Manager Attach Technology counterse TECH_C35B4 On choisi pour le Design Library le nom de notre librairie où se trouve notre design counter counterse et on l attache à la technologie TECH_C35B4 qui correspond bien à notre libraire aalib7. On clique sur OK. Design Library counterse Technology Library TECH_C35B4 Ensuite on importe le fichier counter.def en utilisant le menu : File Import DEF en complétant tous les paramètres comme dans la figure ci-après. Ref. Library Names TECH_C35B4

29 CORELIB Dans la rubrique Library Name vous marquez le nom de votre librairie et dans la rubrique Cell Name le nom de votre cellule qui contient le design placé et routé du compteur (vous pouvez voir ce nom avec Library Manager). Vous activez la rubrique Ref. Library Names et vous écrivez CORELIB qui est bien notre librairie de design. Dans la rubrique DEF File Name vous écrivez le nom de votre fichier DEF, par exemple : counter.def. Cliquez sur OK. En ce moment dans le Library Manager vous remarquer que dans votre bibliothèque, à la cellule counter on a rajouté une vue «layout». Vous sélectionnez la vue layout et vous cliquez 2 fois sur (ou vous sélectionnez la vue layout et avec le bouton droit de la souris vous faites Open) :

30 Vous faites Floorplan Replace View et vous remplacer toutes (switch all) les vues «abstract» des cellules (vues utiliser par Silicon Ensemble) avec les vues «layout» (vues complètes de chaque cellule contient tous les niveaux de layout). Dans le menu Tools vous choisissez Layout. Pour voir votre circuit complet vous faites : Options Display et dans la rubrique Display Levels vous complétez : From : 0 To : 10 Ou vous appuyez sur la touche 1 qui est un «BindKey» pour la même opération.

31 Voici votre circuit :

32 et un zoom : Pour vérifier si le circuit obtenu respecte les règles de design on va faire un DRC (Design Rules Check) : Verify DRC Comme vous pouvez observez, il y a des erreurs dans le design. Pour éliminer ces erreurs il faut faire tourner le DRC en utilisant un fichier de type. rul (que vous pourrez appeler par exemple repare.rul) à écrire par vous-même en script (dans le langage SKILL de CADENCE) en fonction des erreurs que diva.drc vous a donnée pour votre design. A utiliser le Design Kit AMS 0.35um qui se trouve sur le site WEB de l AIME. Vous trouverez un exemple de fichier repare.rul sur le répertoire ~daniela/repare.rul. Ce fichier a été réalisé pour un autre Design Kit, quand même très ressemblant à AMS 0.35um. Puis on refait la vérification du design en utilisant les fichiers de règles de dessin correspondant à notre technologie: diva DRC.rul et on remarque que cette fois ci il n y a plus des erreurs dans notre design. Donc, notre circuit est près à être envoyé en fabrication.

33 Backannotation après placement & routage Il faut prendre un nouveau shell dterm (xterm) On va se placer dans le répertoire VHDL/PR/SIMU_APRES_PR. Créer un lien vers les fichiers counter.vhd obtenu après synthèse, ainsi que pour le fichier test_counter.vhd et un lien vers le fichier counter.sdf obtenu antérieurement. ln s../../synthese/counter.vhd. ln s../../ SYNTHESE/test_counter.vhd... ln s../ counter.sdf. On lance l environnement IUS de Cadence : ldv et ensuite nclaunch & On charge les fichiers counter.vhd et test_counter.vhd et le fichier counter.sdf. Sélectionnez : counter.vhd Compile VHDL test_counter.vhd Compile VHDL counter.sdf Tools SDF Compiler Un fichier counter.sdf.x a été créé dans le répertoire SIMU_APRES_PR. Créer le fichier de commande counter.sdf_cmd ( t counter.sdf_cmd ) avec les lignes suivantes COMPILED_SDF_FILE= "counter.sdf.x", SCOPE = : écrivez le label d instanciation du composant ; Représente le point d entrée du SDF pour le composant à tester

34 Revenez en IUS 5.5 NCLAUNCH. Sélectionnez la l architecture du fichier de test et lancez l élaboration à travers le menu Tools Elaborator Cliquez sur la rubrique Advanced Options de la fenêtre Elaborate. Dans la nouvelle fenêtre choisissez Annotation Use SDF command file et écrivez l option suivante : counter.sdf_cmd Lancer Elaborate Design. Sélectionnez le fichier dans snapshot et lancer la simulation Simulate Design Regardez les courbes obtenues et remarquer le retard introduit par les interconnexions. Retard supplémentaire introduit par les interconnexions d environ 0.5 ns, pour cette sortie

Conception Systèmes numériques VHDL et synthèse automatique des circuits

Conception Systèmes numériques VHDL et synthèse automatique des circuits Année 2008-2009 Conception Systèmes numériques VHDL et synthèse automatique des circuits Travaux pratiques Pentium4 Présentation du simulateur VHDL sous environnement Cadence Présentation de l outil Synopsys

Plus en détail

Tutorial Cadence Virtuoso

Tutorial Cadence Virtuoso Tutorial Cadence Virtuoso (Les premiers pas) Cadence Virtuoso IC6.1.500.3 Design Kit AustriaMicroSystems (AMS) HIT-Kit 4.00 Process : c35b4c3 (0.35µm CMOS 4 métaux) Table des matières Login et ouverture

Plus en détail

Modélisation physique des cellules logiques... Modèles pour le placement routage, le format "LEF"

Modélisation physique des cellules logiques... Modèles pour le placement routage, le format LEF Modélisation physique des cellules logiques... Modèles pour le placement routage, le format "LEF" Yves Mathieu Plan Introduction Technologie Macros Conclusion 2/21 FC Backend ASIC Yves Mathieu Library

Plus en détail

Notice ARES Version 5.20 Française

Notice ARES Version 5.20 Française ARES -1/19 Notice ARES Version 5.20 Française Carlos Valente Technicien IUT LIMOGES Département Génie Electrique et informatique Industrielle 19100 Brive la gaillarde France. Page - 1/19 ARES -2/19 Routage

Plus en détail

SIN-FPGA DESCRIPTION PAR SCHEMA

SIN-FPGA DESCRIPTION PAR SCHEMA SIN-FPGA DESCRIPTION PAR SCHEMA Documents ressources: http://www.altera.com/literature/lit-index.html Introduction to Quartus II : intro_to_quartus2.pdf Documentation QUARTUS II : quartusii_handbook.pdf

Plus en détail

ESPACE COLLABORATIF SHAREPOINT

ESPACE COLLABORATIF SHAREPOINT Conseil de l Europe Service des Technologies de l Information ESPACE COLLABORATIF SHAREPOINT DOSSIER D UTILISATEUR 1/33 Sommaire 1. Présentation de SharePoint... 3 1.1. Connexion... 4 2. Les listes...

Plus en détail

MANUEL D UTILISATION PRO-FACE

MANUEL D UTILISATION PRO-FACE MANUEL D UTILISATION PRO-FACE SOMMAIRE Chapitre 1 Procédure d utilisation 1. En créant un écran seul..... 2. En créant un écran plus un programme logique.. 1-1 1-2 Chapitre 2 Du début à la fin 1. Guide.....

Plus en détail

Exemple d application en CFD : Coefficient de traînée d un cylindre

Exemple d application en CFD : Coefficient de traînée d un cylindre Exemple d application en CFD : Coefficient de traînée d un cylindre 1 Démarche générale Avec Gambit Création d une géométrie Maillage Définition des conditions aux limites Avec Fluent 3D Choix des équations

Plus en détail

1 Démarrer... 3 1.1 L écran Isis...3 1.2 La boite à outils...3 1.2.1 Mode principal... 4 1.2.2 Mode gadget...4 1.2.3 Mode graphique...

1 Démarrer... 3 1.1 L écran Isis...3 1.2 La boite à outils...3 1.2.1 Mode principal... 4 1.2.2 Mode gadget...4 1.2.3 Mode graphique... 1 Démarrer... 3 1.1 L écran Isis...3 1.2 La boite à outils...3 1.2.1 Mode principal... 4 1.2.2 Mode gadget...4 1.2.3 Mode graphique... 4 2 Quelques actions... 5 2.1 Ouvrir un document existant...5 2.2

Plus en détail

Séance 0 : Linux + Octave : le compromis idéal

Séance 0 : Linux + Octave : le compromis idéal Séance 0 : Linux + Octave : le compromis idéal Introduction Linux est un système d'exploitation multi-tâches et multi-utilisateurs, basé sur la gratuité et développé par une communauté de passionnés. C'est

Plus en détail

Importation de fichiers Eagle

Importation de fichiers Eagle Importation de fichiers Eagle 2 Mention de réserve sur les droits d'auteur Les droits d auteur rattachés à tout ou partie des présents logiciel et manuel appartiennent à RS Components et ne peuvent être

Plus en détail

TABLE DES MATIÈRES 1. DÉMARRER ISIS 2 2. SAISIE D UN SCHÉMA 3 & ' " ( ) '*+ ", ##) # " -. /0 " 1 2 " 3. SIMULATION 7 " - 4.

TABLE DES MATIÈRES 1. DÉMARRER ISIS 2 2. SAISIE D UN SCHÉMA 3 & '  ( ) '*+ , ##) #  -. /0  1 2  3. SIMULATION 7  - 4. TABLE DES MATIÈRES 1. DÉMARRER ISIS 2 2. SAISIE D UN SCHÉMA 3! " #$ % & ' " ( ) '*+ ", ##) # " -. /0 " 1 2 " 3' & 3. SIMULATION 7 0 ( 0, - 0 - " - & 1 4. LA SOURIS 11 5. LES RACCOURCIS CLAVIER 11 STI Electronique

Plus en détail

Comment paramétrer et sauvegarder les configurations d Altium Designer?

Comment paramétrer et sauvegarder les configurations d Altium Designer? 1/2009 13.01.2009 Paramétrage d Altium Designer Question: Comment paramétrer et sauvegarder les configurations d Altium Designer? Contexte: De nombreux clients posent souvent les questions suivantes :

Plus en détail

Mini_guide_Isis.pdf le 23/09/2001 Page 1/14

Mini_guide_Isis.pdf le 23/09/2001 Page 1/14 1 Démarrer...2 1.1 L écran Isis...2 1.2 La boite à outils...2 1.2.1 Mode principal...3 1.2.2 Mode gadgets...3 1.2.3 Mode graphique...3 2 Quelques actions...4 2.1 Ouvrir un document existant...4 2.2 Sélectionner

Plus en détail

1) Installation de Dev-C++ Téléchargez le fichier devcpp4990setup.exe dans un répertoire de votre PC, puis double-cliquez dessus :

1) Installation de Dev-C++ Téléchargez le fichier devcpp4990setup.exe dans un répertoire de votre PC, puis double-cliquez dessus : 1) Installation de Dev-C++ Téléchargez le fichier devcpp4990setup.exe dans un répertoire de votre PC, puis double-cliquez dessus : La procédure d installation démarre. La fenêtre suivante vous indique

Plus en détail

Cours iguess. inotes v10.1

Cours iguess. inotes v10.1 Cours iguess inotes v10.1 Ce projet a été financé avec le soutien de la Commission européenne. Cette publication (communication) n engage que son auteur et la Commission n est pas responsable de l usage

Plus en détail

Le langage C. Séance n 4

Le langage C. Séance n 4 Université Paris-Sud 11 Institut de Formation des Ingénieurs Remise à niveau INFORMATIQUE Année 2007-2008 Travaux pratiques d informatique Le langage C Séance n 4 But : Vous devez maîtriser à la fin de

Plus en détail

Procédure d installation Smart Map 3

Procédure d installation Smart Map 3 Procédure d installation Smart Map 3 1 Sommaire Procédure de désinstallation de l ancienne version 3 Procédure d installation de Smart Map Admin 4 Procédure d installation de Smart Map Client 9 2 Procédure

Plus en détail

BIRT (Business Intelligence and Reporting Tools)

BIRT (Business Intelligence and Reporting Tools) BIRT (Business Intelligence and Reporting Tools) Introduction Cette publication a pour objectif de présenter l outil de reporting BIRT, dans le cadre de l unité de valeur «Data Warehouse et Outils Décisionnels»

Plus en détail

PRISE EN MAIN D UN TABLEUR. Version OPEN OFFICE

PRISE EN MAIN D UN TABLEUR. Version OPEN OFFICE PRISE EN MAIN D UN TABLEUR Version OPEN OFFICE Prise en main d un tableur page 2 1. L utilisation de la souris Pour faire fonctionner un tableur, on utilise le clavier mais aussi la souris. Rappelons,

Plus en détail

Ce dont nous avons besoin pour suivre ce tutorial :

Ce dont nous avons besoin pour suivre ce tutorial : Ce dont nous avons besoin pour suivre ce tutorial : -3ds max Version d essai disponible ICI -S2 CMX Viewer A télécharger ICI -Brazil r/s A télécharger ICI -CMX importer A télécharger ICI. Il suffit de

Plus en détail

Quelques outils pour le logiciel «Tux Paint»

Quelques outils pour le logiciel «Tux Paint» Quelques outils pour le logiciel «Tux Paint» Version Window's 0.9.21 Télécharger la version gratuite : http://www.tux Paint.org/download/ Pascale-Dominique Chaillez Lynda O Connell Conseillères pédagogiques

Plus en détail

Utiliser Dev-C++ .1Installation de Dev-C++ Table des matières

Utiliser Dev-C++ .1Installation de Dev-C++ Table des matières Utiliser Dev-C++ Voici quelques explications sur l utilisation de Dev-C++, un excellent environnement de développement en C et C++ pour Windows qu on peut télécharger librement depuis le site www.bloodshed.net

Plus en détail

Tutoriel Création d une source Cydia et compilation des packages sous Linux

Tutoriel Création d une source Cydia et compilation des packages sous Linux Tutoriel Création d une source Cydia et compilation des packages sous Linux 1. Pré-requis 1. Quelques connaissances sous Linux 2. Avoir à disposition un Serveur FTP pour héberger votre source 3. Un thème

Plus en détail

Si vous décidez d utiliser un autre chemin, c est ce nouveau chemin qu il faudra prendre en compte pour la sauvegarde. Cf. : Chapitre 9 Sauvegarde

Si vous décidez d utiliser un autre chemin, c est ce nouveau chemin qu il faudra prendre en compte pour la sauvegarde. Cf. : Chapitre 9 Sauvegarde Sommaire Installation du logiciel...2 Enregistrement du logiciel...2 Présentation du logiciel...3 Initialisation du logiciel... 1. Initialisation des constantes...4 2. Initialisation des codes grades...5

Plus en détail

Sélection du contrôleur

Sélection du contrôleur Démo CoDeSys - 1 - 1. Configuration de l environnement de travail : Lancer le logiciel CoDeSys Fichier Nouveau Lors de la première utilisation, une boîte de dialogue apparaît permettant la sélection du

Plus en détail

Groupe Eyrolles, 2003, ISBN : 2-212-11317-X

Groupe Eyrolles, 2003, ISBN : 2-212-11317-X Groupe Eyrolles, 2003, ISBN : 2-212-11317-X 3 Création de pages dynamiques courantes Dans le chapitre précédent, nous avons installé et configuré tous les éléments indispensables à la mise en œuvre d une

Plus en détail

Tutoriel code::blocks

Tutoriel code::blocks Tutoriel code::blocks E. Lunéville 2006 Le logiciel code::blocks fait partie des logiciels de type EDI (Environnement de Développement Intégré, IDE en anglais) pour le langage C++. Il est multiplateforme

Plus en détail

NOTICE D' UTILISATION CAMWORKS FRAISAGE. Luc Vallée Lycée Blaise Pascal Segré

NOTICE D' UTILISATION CAMWORKS FRAISAGE. Luc Vallée Lycée Blaise Pascal Segré NOTICE D' UTILISATION Luc Vallée Lycée Blaise Pascal Segré FRAISAGE SOMMAIRE allée Sciences et techniques Fiche n 1 - Généralités principe....page 3 Fiche n 2 - Lancer une application fraisage...page 7

Plus en détail

Installation et configuration du logiciel BauBit

Installation et configuration du logiciel BauBit Installation et configuration du logiciel BauBit Version Windows 2013 Version Date Description 1.0 11.2011 Gabriel Python 2.0 01.2013 Gabriel Python 3.0 09.2013 Gabriel Python 1 1 Configuration avant installation

Plus en détail

EXCEL PERFECTIONNEMENT SERVICE INFORMATIQUE. Version 1.0 30/11/05

EXCEL PERFECTIONNEMENT SERVICE INFORMATIQUE. Version 1.0 30/11/05 EXCEL PERFECTIONNEMENT Version 1.0 30/11/05 SERVICE INFORMATIQUE TABLE DES MATIERES 1RAPPELS...3 1.1RACCOURCIS CLAVIER & SOURIS... 3 1.2NAVIGUER DANS UNE FEUILLE ET UN CLASSEUR... 3 1.3PERSONNALISER LA

Plus en détail

TP 1 Prise en main de l environnement Unix

TP 1 Prise en main de l environnement Unix Introduction aux systèmes d exploitation (IS1) TP 1 Prise en main de l environnement Unix Le but de ce premier TP est de commencer à vous familiariser avec l environnement Unix. 1 Ouverture de session

Plus en détail

Créer une base de données vidéo sans programmation (avec Drupal)

Créer une base de données vidéo sans programmation (avec Drupal) Créer une base de données vidéo sans programmation (avec Drupal) 10.10.2013 Nicolas Bugnon (nicolas.bugnon@alliancesud.ch) Centre de documentation Alliance Sud www.alliancesud.ch Résultat de l atelier

Plus en détail

Introduction à Eclipse

Introduction à Eclipse Introduction à Eclipse Eclipse IDE est un environnement de développement intégré libre (le terme Eclipse désigne également le projet correspondant, lancé par IBM) extensible, universel et polyvalent, permettant

Plus en détail

< Atelier 1 /> Démarrer une application web

< Atelier 1 /> Démarrer une application web MES ANNOTATIONS SONT EN ROUGE : Axel < Atelier 1 /> Démarrer une application web Microsoft France Tutorial Découverte de ASP.NET 2.0 Sommaire 1 INTRODUCTION... 3 1.1 CONTEXTE FONCTIONNEL... 3 1.2 CONTEXTE

Plus en détail

Notice d Utilisation du logiciel Finite Element Method Magnetics version 3.4 auteur: David Meeker

Notice d Utilisation du logiciel Finite Element Method Magnetics version 3.4 auteur: David Meeker Notice d Utilisation du logiciel Finite Element Method Magnetics version 3.4 auteur: David Meeker DeCarvalho Adelino adelino.decarvalho@iutc.u-cergy.fr septembre 2005 Table des matières 1 Introduction

Plus en détail

Manuel utilisateur (Manuel_utilisateur_version20110901.pdf) Manuel Reprise des données (Manuel_Reprise_donnees_version20111010.

Manuel utilisateur (Manuel_utilisateur_version20110901.pdf) Manuel Reprise des données (Manuel_Reprise_donnees_version20111010. Manuell d iinstallllatiion Date dernière révision : 10/10/2011 Documents de référence Les documents cités dans le présent document ou utiles à la compréhension de son contenu sont : Titre Manuel utilisateur

Plus en détail

http://manageengine.adventnet.com/products/opmanager/download.html?free

http://manageengine.adventnet.com/products/opmanager/download.html?free Introduction Opmanager est un outil de supervision des équipements réseau. Il supporte SNMP, WMI et des scripts ssh ou Telnet pour récupérer des informations sur les machines. Toutefois les machines doivent

Plus en détail

Modes Opératoires WinTrans Mai 13 ~ 1 ~

Modes Opératoires WinTrans Mai 13 ~ 1 ~ Modes Opératoires WinTrans Mai 13 ~ 1 ~ Table des matières Facturation... 2 Tri Filtre... 2 Procédures facturation... 3 Transfert Compta... 8 Création d un profil utilisateur... Erreur! Signet non défini.

Plus en détail

Deuxième Licence en Informatique Data Warehousing et Data Mining La Classification - 1

Deuxième Licence en Informatique Data Warehousing et Data Mining La Classification - 1 Deuxième Licence en Informatique Data Warehousing et Data Mining La Classification - 1 V. Fiolet Université de Mons-Hainaut 2006-2007 Nous allons aujourd hui nous intéresser à la tâche de classification

Plus en détail

Les tablettes et l'extranet Intermixt Mode d'emploi

Les tablettes et l'extranet Intermixt Mode d'emploi Les tablettes et l'extranet Mode d'emploi Ce document vous présente les étapes vous permettant d avoir accès aux documents disponibles sur l'extranet d'. Vous pouvez éventuellement les télécharger pour

Plus en détail

WinTask x64 Le Planificateur de tâches sous Windows 7 64 bits, Windows 8/8.1 64 bits, Windows 2008 R2 et Windows 2012 64 bits

WinTask x64 Le Planificateur de tâches sous Windows 7 64 bits, Windows 8/8.1 64 bits, Windows 2008 R2 et Windows 2012 64 bits WinTask x64 Le Planificateur de tâches sous Windows 7 64 bits, Windows 8/8.1 64 bits, Windows 2008 R2 et Windows 2012 64 bits Manuel d initiation du Planificateur 2 INTRODUCTION 5 CHAPITRE I : INSTALLATION

Plus en détail

Manipulations du laboratoire

Manipulations du laboratoire Manipulations du laboratoire 1 Matériel Les manipulations de ce laboratoire sont réalisées sur une carte électronique comprenant un compteur 4-bit asynchrone (74LS93) avec possibilité de déclenchement

Plus en détail

AGASC / BUREAU INFORMATION JEUNESSE 06700 Saint Laurent du Var Tel : 04.93.07.00.66 bij@agasc.fr www.agasc.fr. Word: Les tableaux.

AGASC / BUREAU INFORMATION JEUNESSE 06700 Saint Laurent du Var Tel : 04.93.07.00.66 bij@agasc.fr www.agasc.fr. Word: Les tableaux. Word: Les tableaux Introduction 6 ième partie Il est préférable par moments de présenter de l'information sous forme de tableau. Les instructions qui suivent démontrent comment créer un tableau et comment

Plus en détail

Installation de SQL Server Reporting Services avec l intégration dans un site Windows SharePoint Services V3

Installation de SQL Server Reporting Services avec l intégration dans un site Windows SharePoint Services V3 Installation de SQL Server Reporting Services avec l intégration dans un site Windows SharePoint Services V3 Introduction Le Service Pack 2 de SQL Server 2005 a ajouté une option de gestion et d utilisation

Plus en détail

Mini_guide_Isis_v6.doc le 10/02/2005 Page 1/15

Mini_guide_Isis_v6.doc le 10/02/2005 Page 1/15 1 Démarrer... 2 1.1 L écran Isis... 2 1.2 Les barres d outils... 3 1.2.1 Les outils d édition... 3 1.2.2 Les outils de sélection de mode... 4 1.2.3 Les outils d orientation... 4 2 Quelques actions... 5

Plus en détail

MODE OPERATOIRE CIEL GESTION COMMERCIALE VERSION EVOLUTION BTS PME PMI

MODE OPERATOIRE CIEL GESTION COMMERCIALE VERSION EVOLUTION BTS PME PMI MODE OPERATOIRE CIEL GESTION COMMERCIALE VERSION EVOLUTION BTS PME PMI BTS AGPME Ciel Gestion commerciale (mai 2005) Pierre TASSION 1 Table des matières D après le référentiel BTS PME PMI Présentation

Plus en détail

AP1.1 : Montages électroniques élémentaires. Électricité et électronique

AP1.1 : Montages électroniques élémentaires. Électricité et électronique STI2D Option SIN Terminale AP1.1 : Montages électroniques élémentaires Électricité et électronique Durée prévue : 3h. Problématique : connaître les composants élémentaires de l'électronique Compétences

Plus en détail

ALLIANZ MODE OPERATOIRE DE MIGRATION D UNE AGENCE WINDOWS 7. 29 Août 2014. Version du document : 010

ALLIANZ MODE OPERATOIRE DE MIGRATION D UNE AGENCE WINDOWS 7. 29 Août 2014. Version du document : 010 ALLIANZ MODE OPERATOIRE DE MIGRATION D UNE AGENCE WINDOWS 7 29 Août 2014 Version du document : 010 0/31 Version du document : 010 29 Août 2014 HISTORIQUE DES EVOLUTIONS Version date paragraphe action nature

Plus en détail

Guide de l utilisateur. Faites connaissance avec la nouvelle plateforme interactive de

Guide de l utilisateur. Faites connaissance avec la nouvelle plateforme interactive de Guide de l utilisateur Faites connaissance avec la nouvelle plateforme interactive de Chenelière Éducation est fière de vous présenter sa nouvelle plateforme i+ Interactif. Conçue selon vos besoins, notre

Plus en détail

Services bancaires par Internet aux entreprises. Guide pratique pour : Rapports de solde Version 8.05.22

Services bancaires par Internet aux entreprises. Guide pratique pour : Rapports de solde Version 8.05.22 Services bancaires par Internet aux entreprises Guide pratique pour : Rapports de solde Version 8.05.22 Table des matières Avez-vous besoin d aide?... 3 Exigences informatiques... 4 Navigateurs acceptés...

Plus en détail

MetaTrader 4/5 pour Android. Guide de l utilisateur

MetaTrader 4/5 pour Android. Guide de l utilisateur 1 MetaTrader 4/5 pour Android Guide de l utilisateur 2 Sommaire Comment obtenir l application MT4/ 5 pour Android... 3 Comment se connecter à un compte existant ou créer un compte de démo... Error! Bookmark

Plus en détail

L'émulateur multi-système

L'émulateur multi-système L'émulateur multi-système Par : Tongame Difficulté : 1) Présentation générale Mess est un émulateur multi-systèmes permettant d'émuler un nombre impressionnant de machines, micro-ordinateurs et consoles.

Plus en détail

EXCEL TUTORIEL 2012/2013

EXCEL TUTORIEL 2012/2013 EXCEL TUTORIEL 2012/2013 Excel est un tableur, c est-à-dire un logiciel de gestion de tableaux. Il permet de réaliser des calculs avec des valeurs numériques, mais aussi avec des dates et des textes. Ainsi

Plus en détail

Windows Internet Name Service (WINS)

Windows Internet Name Service (WINS) Windows Internet Name Service (WINS) WINDOWS INTERNET NAME SERVICE (WINS)...2 1.) Introduction au Service de nom Internet Windows (WINS)...2 1.1) Les Noms NetBIOS...2 1.2) Le processus de résolution WINS...2

Plus en détail

COURS WINDEV NUMERO 3

COURS WINDEV NUMERO 3 COURS WINDEV NUMERO 3 01/02/2015 Travailler avec un fichier de données Etude du gestionnaire d analyse, Manipulation des tables mémoires, Manipulation de données, Création d états, Pré requis : Cours WinDev

Plus en détail

TABLEAU CROISE DYNAMIQUE

TABLEAU CROISE DYNAMIQUE EXCEL NIVEAU III Mireille DUCELLIER MARS 2003 BASE DE DONNEES RAPPEL Une base de données est une plage de cellules contiguës située sur une la feuille 1. Elle commence en A1. On parle alors de champs,

Plus en détail

BASE. Vous avez alors accès à un ensemble de fonctionnalités explicitées ci-dessous :

BASE. Vous avez alors accès à un ensemble de fonctionnalités explicitées ci-dessous : BASE BioArray Software Environment (BASE) est une base de données permettant de gérer l importante quantité de données générées par des analyses de bio-puces. BASE gère les informations biologiques, les

Plus en détail

Tutoriel de formation SurveyMonkey

Tutoriel de formation SurveyMonkey Tutoriel de formation SurveyMonkey SurveyMonkey est un service de sondage en ligne. SurveyMonkey vous permet de créer vos sondages rapidement et facilement. SurveyMonkey est disponible à l adresse suivante

Plus en détail

ET 24 : Modèle de comportement d un système Boucles de programmation avec Labview.

ET 24 : Modèle de comportement d un système Boucles de programmation avec Labview. ET 24 : Modèle de comportement d un système Boucles de programmation avec Labview. Sciences et Technologies de l Industrie et du Développement Durable Formation des enseignants parcours : ET24 Modèle de

Plus en détail

Manuel d utilisation de la base de données nationale sur la situation de l enfance en Tunisie CHILDINFO 6.0

Manuel d utilisation de la base de données nationale sur la situation de l enfance en Tunisie CHILDINFO 6.0 2010 Manuel d utilisation de la base de données nationale sur la situation de l enfance en Tunisie CHILDINFO 6.0 Observatoire d Information, de Formation, de Documentation et d Etudes pour la Protection

Plus en détail

Date M.P Libellé Catégorie S.Catégorie Crédit Débit Solde S.B

Date M.P Libellé Catégorie S.Catégorie Crédit Débit Solde S.B Excel : Réalisation d un classeur Compta Saisir les étiquettes Renommer la première feuille Compta Laisser la première ligne vide et sur la deuxième ligne saisir les étiquettes Se placer sur A2 et saisir

Plus en détail

SCL LOGICIEL DE CONTROL

SCL LOGICIEL DE CONTROL SCL LOGICIEL DE CONTROL Version 1.3 MRC AUDIO LD- 500 www.mrcaudio.com 1 Contenu 1 - Bienvenu a MRC AUDIO SCL v1.3 2 - Installation du logiciel 3 - Configuration du programme SCL 4 - Contrôle des installations

Plus en détail

Certificats «CREDIT LYONNAIS Authentys Entreprise» Manuel utilisateur du support cryptographique

Certificats «CREDIT LYONNAIS Authentys Entreprise» Manuel utilisateur du support cryptographique Certificats «CREDIT LYONNAIS Authentys Entreprise» Manuel utilisateur du support cryptographique Page 2 sur 21 1 INTRODUCTION Pour toute question complémentaire ou demande d information : pour les clients

Plus en détail

LOGICIEL DC4D MONITOR

LOGICIEL DC4D MONITOR THQtronic LOGICIEL DC4D MONITOR La communication entre PC et DC4D ne peut se faire qu à l aide du câble de liaison USB-TTL de FTDI référence TTL-232R-5V-AJ vendu en option. DC4D Monitor est la même application

Plus en détail

Consignes générales :

Consignes générales : PROCÉDURE POUR DÉPÔT DANS WEBCT Consignes générales : 1) Il est important de toujours conserver une copie de votre Webfolio ou Dossier professionnel sur votre disquette, clé USB ou sur votre disque dur

Plus en détail

Form Designer Guide d utilisateur DOC-FD-UG-FR-01/01/12

Form Designer Guide d utilisateur DOC-FD-UG-FR-01/01/12 Form Designer Guide d utilisateur DOC-FD-UG-FR-01/01/12 Les informations contenues dans le présent manuel de documentation ne sont pas contractuelles et peuvent faire l objet de modifications sans préavis.

Plus en détail

Guide d'installation et de configuration de Pervasive.SQL 7 dans un environnement réseau Microsoft Windows NT

Guide d'installation et de configuration de Pervasive.SQL 7 dans un environnement réseau Microsoft Windows NT Guide d'installation et de configuration de Pervasive.SQL 7 dans un environnement réseau Microsoft Windows NT Ce guide explique les différentes étapes de l installation et de la configuration des composantes

Plus en détail

WINDOWS SHAREPOINT SERVICES 2007

WINDOWS SHAREPOINT SERVICES 2007 WINDOWS SHAREPOINT SERVICES 2007 I. TABLE DES MATIÈRES II. Présentation des «content types» (Type de contenu)... 2 III. La pratique... 4 A. Description du cas... 4 B. Création des colonnes... 6 C. Création

Plus en détail

Avertissement : Nos logiciels évoluent rendant parfois les nouvelles versions incompatibles avec les anciennes.

Avertissement : Nos logiciels évoluent rendant parfois les nouvelles versions incompatibles avec les anciennes. ENVOI EN NOMBRE DE SMS La version SMS de Ditel vous permet d'envoyer vos SMS de façon automatique. L'importation de vos données se fait directement au format Excel Avertissement : Nos logiciels évoluent

Plus en détail

AVerMedia CM3000 Manuel d utilisation

AVerMedia CM3000 Manuel d utilisation AVerMedia CM3000 Manuel d utilisation http://www.avermedia.com http://www.averdigi.com Introduction... 4 Mise à jour du mode basique vers le mode avancé... 5 Carte graphique avec Chipset «Nvidia»... 7

Plus en détail

Créer le schéma relationnel d une base de données ACCESS

Créer le schéma relationnel d une base de données ACCESS Utilisation du SGBD ACCESS Polycopié réalisé par Chihab Hanachi et Jean-Marc Thévenin Créer le schéma relationnel d une base de données ACCESS GENERALITES SUR ACCESS... 1 A PROPOS DE L UTILISATION D ACCESS...

Plus en détail

1 Démarrage de Marionnet

1 Démarrage de Marionnet Institut Galilée Administration Système Année 2011-2012 INFO 2ème année Master Info 1 Master Image & Réseau 1 T.P. 1 Administration Système Le logiciel Marionnet (www.marionnet.org) offre la possibilité

Plus en détail

Découverte du logiciel ordinateur TI-n spire / TI-n spire CAS

Découverte du logiciel ordinateur TI-n spire / TI-n spire CAS Découverte du logiciel ordinateur TI-n spire / TI-n spire CAS Mémento Ouvrir TI-Nspire CAS. Voici la barre d outils : L insertion d une page, d une activité, d une page où l application est choisie, pourra

Plus en détail

Guide de démarrage rapide. (pour la version 5.0.)

Guide de démarrage rapide. (pour la version 5.0.) Guide de démarrage rapide (pour la version 5.0.) 2 Table des matières Introduction Réglages de l application MyTalk Mobile 1. MODIFICATION 2. DEMARRER 3. AFFICHER 4. SYNTHETISEUR VOCAL 5. NOMBRE DE MOTS

Plus en détail

www.communautes-numeriques.net

www.communautes-numeriques.net Quelques mots sur le créateur de cette présentation: Yves Roger Cornil. Président de l association Communautés Numériques Microsoft MVP Macintosh. Co-fondateur de Microcam en 1981 - Vice président de Microcam

Plus en détail

TP1 - Prise en main de l environnement Unix.

TP1 - Prise en main de l environnement Unix. Mise à niveau UNIX Licence Bio-informatique TP1 - Prise en main de l environnement Unix. Les sujets de TP sont disponibles à l adresse http://www.pps.jussieu.fr/~tasson/enseignement/bioinfo/ Les documents

Plus en détail

Animation pédagogique sur l oscilloscope à mémoire Hameg HM 507

Animation pédagogique sur l oscilloscope à mémoire Hameg HM 507 Animation pédagogique sur l oscilloscope à mémoire Hameg HM 507 Les réglages matériels généraux de l oscilloscope Hameg HM 507 Ce sont les réglages qui sont actifs aussi bien en mode Analogique (oscilloscope

Plus en détail

Manipulation de données avec SAS Enterprise Guide et modélisation prédictive avec SAS Enterprise Miner

Manipulation de données avec SAS Enterprise Guide et modélisation prédictive avec SAS Enterprise Miner Le cas Orion Star Manipulation de données avec SAS Enterprise Guide et modélisation prédictive avec SAS Enterprise Miner Le cas Orion Star... 1 Manipulation de données avec SAS Enterprise Guide et modélisation

Plus en détail

Tutorial Terminal Server sous

Tutorial Terminal Server sous Tutorial Terminal Server sous réalisé par Olivier BOHER Adresse @mail : xenon33@free.fr Site Internet : http://xenon33.free.fr/ Tutorial version 1a Page 1 sur 1 Index 1. Installation des services Terminal

Plus en détail

CONFIGURATION DE L AUTOMATE SIEMENS

CONFIGURATION DE L AUTOMATE SIEMENS CONFIGURATION DE L AUTOMATE SIEMENS Créer un projet Dans le bureau de Windows, double-cliquer sur l icône «SIMATIC Manager» : Cliquer ensuite sur l icône «nouveau» : Choisir un nom de projet et valider

Plus en détail

Installation de SCCM 2012 (v2)

Installation de SCCM 2012 (v2) Installation de SCCM 2012 (v2) Tutorial conçu et rédigé par Michel de CREVOISIER SOURCES Installation de SCCM 2012 : http://blog-en.netvnext.com/2011/11/installing-sccm-2012-rc1-primary-site.html Configuration

Plus en détail

Système Normalisé de Gestion des Bibliothèques -SYNGEB : version Réseau-

Système Normalisé de Gestion des Bibliothèques -SYNGEB : version Réseau- Ministère de l Enseignement Supérieur et de la Recherche Scientifique Centre de Recherche sur l Information Scientifique et Technique Système Normalisé de Gestion des Bibliothèques -SYNGEB : version Réseau-

Plus en détail

REALISATION D UN MAILLAGE

REALISATION D UN MAILLAGE MODE D EMPLOI REALISATION D UN MAILLAGE AVEC ICEM 4.08 Hervé Neau Août 2000 Version 1.0 SOMMAIRE 1 : INTRODUCTION... 2 2 : PRINCIPE DE FONCTIONNEMENT... 2 3 : INSTALLATION D ICEM 4.08... 3 4 : LANCEMENT

Plus en détail

Support de TD ArcGIS 10.1. Introduction à l automatisation et au développement avec ArcGIS 10.1 JEAN-MARC GILLIOT 2014-2015. 3 e année ingénieur

Support de TD ArcGIS 10.1. Introduction à l automatisation et au développement avec ArcGIS 10.1 JEAN-MARC GILLIOT 2014-2015. 3 e année ingénieur JEAN-MARC GILLIOT 2014-2015 Durée 1,5 heures Introduction à l automatisation et au développement avec ArcGIS 10.1 3 e année ingénieur Support de TD ArcGIS 10.1 Grande école européenne d'ingénieurs et de

Plus en détail

GUIDE UTILISATEUR SYSTEMES CCTV

GUIDE UTILISATEUR SYSTEMES CCTV GUIDE UTILISATEUR SYSTEMES CCTV 2SECURE 3 chemin des mules 13124 PEYPIN www.2secure.fr - 1 - SOMMAIRE : 1 ACCEDER / SORTIR D UN MENU :...3 2 VISUALISER UN ENREGISTREMENT SUR LE DVR :...3 3 SAUVEGARDER

Plus en détail

Le service de création de site Internet : Mode d emploi. La Création de Site Internet

Le service de création de site Internet : Mode d emploi. La Création de Site Internet Le service de création de site Internet : Mode d emploi Sommaire 1) Comment se connecter à votre interface client? 2) Comment démarrer la création de votre site Internet? 3) Comment gérer les pages de

Plus en détail

Quelques outils pour le logiciel «Tuxpaint»

Quelques outils pour le logiciel «Tuxpaint» Quelques outils pour le logiciel «Tuxpaint» Version PC 0.9.20b- 2008-08-20 Télécharger la version gratuite : http://www.tuxpaint.org/download/ Pascale-Dominique Chaillez Lynda O Connell Conseillères pédagogiques

Plus en détail

Édu-groupe - Version 4.3

Édu-groupe - Version 4.3 Édu-groupe - Version 4.3 Guide de l utilisateur Gestion des fichiers Société GRICS, Équipe Évaluation Août 2012 2 CONSIDÉRATIONS GÉNÉRALES A. Importante mise en garde concernant les types de fureteur Les

Plus en détail

COMPTABILITE SAGE LIGNE 30

COMPTABILITE SAGE LIGNE 30 COMPTABILITE SAGE LIGNE 30 Date : 25/09/2006 Auteur : Pascal VIGUIER Réf. : SAGE092006 SOMMAIRE SOMMAIRE... 1 1. MENU FICHIER... 3 1.1 1.2 AUTORISATION D ACCES... 3 A PROPOS DE VOTRE SOCIETE... 4 1.2.1

Plus en détail

Utilisation du logiciel GALAAD

Utilisation du logiciel GALAAD 1 Sommaire: Présentation du logiciel GALAAD 1. Démarrer le programme........ 2. Présentation de l écran du logiciel....... Les barres d'outils, sauvegarder... 3. Créer un nouveau fichier........ 4. Préparer

Plus en détail

N importe qui possédant un code MS valide peut initier la rencontre. Néanmoins, il serait préférable de laisser cette

N importe qui possédant un code MS valide peut initier la rencontre. Néanmoins, il serait préférable de laisser cette Le produit SECURE MEETING permet notamment à un utilisateur de résoudre rapidement à distance un problème d un autre utilisateur en toute sécurité. Ce logiciel dessert les utilisateurs des plateformes

Plus en détail

Millenium3 Atelier de programmation

Millenium3 Atelier de programmation Millenium3 Millenium 3 Millenium3 1. Aide en ligne CLSM3... 2 1.1 Présentation de l'atelier de programmation... 2 1.1.1 Présentation de l'atelier de programmation... 2 1.2 Comment débuter avec l'atelier

Plus en détail

Réalisation de cartes vectorielles avec Word

Réalisation de cartes vectorielles avec Word Réalisation de cartes vectorielles avec Word Vectorisation de la carte Après avoir scanné ou avoir récupéré un fond de carte sur Internet, insérez-la dans votre fichier Word : Commencez par rendre visible

Plus en détail

Utiliser Net Support School (NSS Version 10.50.14) Philippe Cailleretz Er-Tice Avion mars 2011.

Utiliser Net Support School (NSS Version 10.50.14) Philippe Cailleretz Er-Tice Avion mars 2011. Utiliser Net Support School (NSS Version 10.50.14) Philippe Cailleretz Er-Tice Avion mars 2011. Table des matières Utiliser NetSupport School (NSS) Avertissements Démarrer l exécution de NetSupport School

Plus en détail

TD de supervision. J.P. Chemla. Polytech Tours Département productique 2ème année

TD de supervision. J.P. Chemla. Polytech Tours Département productique 2ème année TD de supervision J.P. Chemla Polytech Tours Département productique 2ème année 1 Présentation de l équipement On veut superviser une cuve dans un batiment. Les informations à visualiser sont les suivantes

Plus en détail

wxwidgets dans un environnement Microsoft Windows

wxwidgets dans un environnement Microsoft Windows 1/18 wxwidgets dans un environnement Microsoft Windows Ce document explique les démarches à suivre pour pouvoir utiliser «wxwidgets» à travers un environnement de développement. Normalement c est ce que

Plus en détail

Optimiser pour les appareils mobiles

Optimiser pour les appareils mobiles chapitre 6 Optimiser pour les appareils mobiles 6.1 Créer un site adapté aux terminaux mobiles avec jquery Mobile... 217 6.2 Transformer son site mobile en application native grâce à PhoneGap:Build...

Plus en détail

LOGICIEL DE SURVEILLANCE NUUO NOTICE

LOGICIEL DE SURVEILLANCE NUUO NOTICE LOGICIEL DE SURVEILLANCE NUUO NOTICE Sommaire A Installation... 2 B Console principale... 4 C Ecran... D Configuration... E Programmation... F Système Smart Guide... G Playback... Cette notice est un guide

Plus en détail