Serveur Web embarqué



Documents pareils
PIC EVAL Dev Board PIC18F97J60

Le langage C. Séance n 4

Introduction à Eclipse

Tapez le titre de la page «BASTIA ville méditerranéenne», puis allez deux fois à la ligne à l aide de la touche Entrée.

Sélection du contrôleur

Rapports d activités et financiers par Internet. Manuel Utilisateur

Projet M1 Année scolaire 2013/2014

USER GUIDE. Interface Web

SIN-FPGA DESCRIPTION PAR SCHEMA

Comment accéder à d Internet Explorer

MANUEL D UTILISATION POUR APPAREILS ANDROID

BIRT (Business Intelligence and Reporting Tools)

Les possibilités de paramétrage réseau des logiciels de virtualisation sont les suivantes quant à la connexion réseau :

Utilisation de GCM (Google Cloud Messaging) pour Android. Partie préliminaire éventuelle : Création d'un AVD lisant GCM

GUIDE D UTILISATION ADSL ASSISTANCE

Chapitre II-2 : Conception SoPC (Altera)

Fiche Pratique. Présentation du problème. Installation du logiciel. Etape 1. MAJ le 17/10/2011

Paramétrage des navigateurs

Notice d Installation et d utilisation d une liaison Bluetooth avec un PDA ipaq.

Boîtier disque dur SATA 3,5 pouces Fonction économie d énergie

Instructions relatives à l installation et à la suppression des pilotes d imprimante PostScript et PCL sous Windows, version 8

MANUEL D INSTALLATION

USB LANPORT 400. Manuel

Installation Guide Serveur d impression et de stockage multifonctions à 2 ports USB 2.0 haute vitesse Manuel de l utilisateur

1) Installation de Dev-C++ Téléchargez le fichier devcpp4990setup.exe dans un répertoire de votre PC, puis double-cliquez dessus :

WinTask x64 Le Planificateur de tâches sous Windows 7 64 bits, Windows 8/ bits, Windows 2008 R2 et Windows bits

Etape 1 : Connexion de l antenne WiFi et mise en route

Mise en place de la G4100 pack avec Livebox

Travaux pratiques Configuration d une carte réseau pour qu elle utilise DHCP dans Windows Vista

Guide d utilisation de PL7 Pro Récupérer ou transférer un programme

Contrôleur de communications réseau. Guide de configuration rapide DN

NETWORK & SOFTWARE ENGINEERING MANUEL D UTILISATEUR. Logiciel TIJARA. NETWORK AND SOFTWARE ENGINEERING Manuel d'utilisateur "TIJARA" 1

Un peu de vocabulaire

La Clé informatique. Formation Internet Explorer Aide-mémoire

Utiliser SQL Server 2008 R2 Reporting Services comme source de donne es pour Microsoft Excel

Manuel utilisateur (Manuel_utilisateur_version pdf) Manuel Reprise des données (Manuel_Reprise_donnees_version

WinReporter Guide de démarrage rapide. Version 4

Sauvegarde des données d affaires de Bell Guide de démarrage. Vous effectuez le travail Nous le sauvegarderons. Automatiquement

Thomson ST 2030 guide de configuration et d utilisation

Service Informatique et Télématique (SITEL), Emile-Argand 11, 2009 Neuchâtel, Tél ,

TP redondance DHCP. Gillard Frédéric Page 1/17. Vue d ensemble du basculement DHCP

Configurer et sécuriser son réseau sans fil domestique

REPETEUR SANS FIL N 300MBPS

Windows Serveur 2012 : DHCP. Installation et mise en place

Configuration du modem D-Link ADSL2+

Guide d installation

wxwidgets dans un environnement Microsoft Windows

Guide d installation rapide

Securexam Consignes pour l EFU Les 2, 3 et 4 juin 2015

Mes documents Sauvegardés

Se connecter en WiFi à une Freebox

VAMT 2.0. Activation de Windows 7 en collège

WinARC Installation et utilisation D un écran déporté (Windows 7)

RX3041. Guide d'installation rapide

Mise en place des TPs Réseau en machines virtuelles. Utilisation de VmPlayer

Guide de mise à niveau pas à pas vers Windows 8 CONFIDENTIEL 1/53

Windows 7, Configuration

But de cette présentation

EN Télécom & Réseau S Utiliser VMWARE

PocketNet SNMP/Modbus

Installation et configuration du serveur syslog sur Synology DSM 4.0

Configuration du serveur Web

Guide pour le bon fonctionnement des applications académiques avec Internet Explorer 7.x

Guide d installation des licences Solid Edge-NB RB

Procédure d installation des logiciels EBP sous environnement ESU4. Serveur SCRIBE ou Windows

Certificats «CREDIT LYONNAIS Authentys Entreprise» Manuel utilisateur du support cryptographique

Alcatel OmniPCX Enterprise TSC-IP V1 (4098RE)

PROCEDURE ESX & DHCP LINUX

2 - VMWARE SERVER.doc

Guide de l administrateur DOC-OEMCS8-GA-FR-29/09/05

Découvrez Windows NetMeeting

Windows Internet Name Service (WINS)

Caméra IP motorisée de surveillance jour et nuit

1 ) INSTALLATION DE LA CONSOLE 2 2 ) PREMIER DÉMARRAGE DE LA CONSOLE 3 3 ) LES JOBS 4 4 ) LES ORDINATEURS 6

PROCÉDURE D'INSTALLATION WINDOWS 7 (32 ou 64 bit)

INTERCONNEXION ENT / BCDI / E - SIDOC

Guide de démarrage IKEY 2032 / Vigifoncia

IFT287 Exploitation de base de données relationnelles et orientées objet. Laboratoire Mon premier programme Java en Eclipse

Manuel d installation et d utilisation du logiciel GigaRunner

ÉCOLE POLYTECHNIQUE DE MONTRÉAL. Département de Génie Électrique. La technologie de TEXAS INSTRUMENTS DSP pour le cours Analyse des Signaux ELE2700

Manuel de l utilisateur. Soft-phone - Client VoIP 3CX Version 6.0

Bravo! Vous venez d acquérir un routeur large bande à 4 ports Conceptronic C100BRS4H.

Document de formation pour une solution complète d automatisation Totally Integrated Automation (T I A) MODULE A5 Programmation de la CPU 314C-2DP

PARAMETRAGE D INTERNET EXPLORER POUR L UTILISATION DE GRIOTTE

WebSpy Analyzer Giga 2.1 Guide de démarrage

PACK ADSL WIFI. Configurer ma connexion ADSL avec Modem/Routeur Sagem 1400W

SOMMAIRE. 01_Installation ESXi Serveur HP.doc. Chapitre 1 Installation ESXi 5.1 2

Configurez votre Neufbox Evolution

Itium XP. Guide Utilisateur

>> Lisez-moi d abord... Connecter le ZyXEL Prestige 650HW/HW-I

Installation d un serveur virtuel : DSL_G624M

Syfadis. > Configuration du poste client. Nous vous aidons à réussir. REFERENCE : Syfadis LMS - 20/06/2007. AUTEUR : Equipe technique Syfadis

MISE EN PLACE D UN SERVEUR DHCP SOUS WINDOWS SERVEUR 2003 R2

Crédit Agricole en ligne

Configurer le Serveur avec une adresse IP Statique (INTERFACE :FastEthernet) : et un masque

CARPE. Documentation Informatique S E T R A. Version Août CARPE (Documentation Informatique) 1

MAC-TC: programmation d un plate forme DSP-FPGA

Guide d utilisation 2012

NetBak Replicator 4.0 Manuel de l utilisateur Version 1.0

Transcription:

Serveur Web embarqué OBJECTIFS : Dans ce TP vous allez concevoir un serveur Web que vous implanterez sur une carte DE2. MANIPULATION : Pour faire ce TP vous devez disposer des éléments suivants : Quartus II Web Edition 11.1sp2 NIOS II EDS 11.1 ALTERA University Program Installer : ftp://ftp.altera.com/up/pub/altera_material/11.1/altera_upds_setup.exe Le fichier de2.zip qui vous sera fourni et qu il faut dézipper dans c:\altera. Le fichier dm9000a.zip qui vous sera fourni et qu il faut dézipper dans le répertoire du projet. 1. Création d un système hardware NIOS II en version fast : Lancer Quartus II et créer un nouveau projet appelé De2_Web_Server (voir le détail des opérations (choix du FPGA etc.) dans le TP précédent. Copier et dézipper le fichier dm9000a.zip dans le répertoire du projet. Il contient les fichiers du bloc IP permettant de communiquer avec le contrôleur Ethernet DM9000a de la carte DE2. Créer une nouvelle feuille de schéma et lancer QSYS pour créer un système appelé nios2_fast. Ce système devra comprendre un processeur NIOS II en version fast avec les paramètres suivants : Hervé BOEGLEN I.U.T. de Colmar Dépt. R&T 2012 1

Expliquer ce que représente un Burst pour les caches d instructions et de données. Ajouter de la mémoire SDRAM à ce processeur en suivant les instructions données dans la documentation «Using the SDRAM Memory on Altera s DE2 Board with VHDL Design» que vous pourrez trouver ici : ftp://ftp.altera.com/up/pub/altera_material/11.0/tutorials/vhdl/de2/using_the_sd RAM.pdf Donner les caractéristiques techniques de la mémoire SDRAM présente sur la carte DE2. Ajouter de la mémoire Flash. Celle-ci contiendra les pages html du serveur Web. On consultera à ce sujet la documentation de la carte DE2 à partir de la page 48. ftp://ftp.altera.com/up/pub/webdocs/de2_usermanual.pdf Voici les paramètres à modifier : Hervé BOEGLEN I.U.T. de Colmar Dépt. R&T 2012 2

Cette mémoire doit être reliée au processeur via un bus trois états. Ajouter le composant Avalon-MM Tristate Bridge (rubrique Bridges/Memory Mapped) : Ajouter ensuite : o une interface JTAG UART, o un timer système de 1ms (sys_clock_timer), o un timer de précision de 10µs (high_res_timer), o une interface PIO pour les leds vertes (LEDG) sur 8 bits, o une interface PIO pour les leds rouges (LEDR) sur 18 bits, o une interface PIO pour les boutons poussoirs KEY 0 à KEY2 (KEY) sur 3 bits, o une interface de contrôle SEG7_LUT_8 pour commander les afficheurs 7 segments (seven_segs), o un contrôleur LCD (lcd), o un contrôleur Ethernet DM9000a. Le système est maintenant complet. Pour finir, allez dans le menu System et choisissez Assign Base Adresses puis Assign Interrupt Numbers. Editez ensuite le composant cpu (en double-cliquant dessus) et initialisez le Reset Vector et Exception Vector à sdram et cliquez sur le bouton Finish. A ce stade, il doit rester des erreurs liées à la mémoire Flash non compatible avec QSYS. Pour régler cela, faire un System/Run SOPC Builder to Qsys upgrade. Voici ce que j obtiens à la fin de ces opérations : Hervé BOEGLEN I.U.T. de Colmar Dépt. R&T 2012 3

Cliquer sur le bouton Generate pour créer le système NIOS II. Une fois la génération terminée, quittez QSYS en sauvegardant et revenez à la feuille de schéma Quartus II. Placez le composant nios2_fast dans la feuille de schéma (celui-ci doit se trouver dans la liste des symboles de votre projet) : Hervé BOEGLEN I.U.T. de Colmar Dépt. R&T 2012 4

Ajoutez maintenant une PLL ayant trois sorties : o 50 MHz qui sera l horloge du processeur NIOS II o 50 MHz avec déphasage de -54 qui sera l horloge de la SDRAM o 25 MHz qui sera l horloge du circuit DM9000A Ajouter maintenant les pins et fils sur les différentes connections comme indiqué sur le schéma de la page suivante. ATTENTION, il faut impérativement utiliser les noms de pins indiqués! Maintenant il faut faire la relation entre ces noms et les numéros de pattes sur le FPGA en utilisant le menu Assignments/Pins. Pour gagner du temps nous allons le faire grâce au fichier DE2_pin_assignments.csv que l on peut récupérer ici : http://www.cas.mcmaster.ca/~leduc/de2_pin_assignments.csv Placez ce fichier dans le répertoire de votre projet et allez dans le menu Assignments/Import Assignments et indiquez le fichier que vous venez de placer : Lancez maintenant la compilation du projet Quartus II. Si tout se passe comme il faut vous ne devriez pas avoir d erreurs. Nous avons terminé la création de la partie hardware et vous pouvez donc fermer Quartus II. Nous allons maintenant passer à la partie software. Ouvrez NIOS II EDS 11.1 et indiquez le répertoire du projet créé précédemment comme workspace. Hervé BOEGLEN I.U.T. de Colmar Dépt. R&T 2012 5

Hervé BOEGLEN I.U.T. de Colmar Dépt. R&T 2012 6

Une fois l application ouverte, créez une nouvelle application NIOS II : Complétez les champs comme indiqués et cliquez sur Finish. Le template sélectionné correspond à une application de serveur Web minimaliste utilisant les sockets à l aide de la pile IP de la société Interniche. Cette application utilise également le système d exploitation temps réel MicroC/OS-II de la société Micrium. Les pages Web doivent être placées sous la forme d un fichier.zip non compressé dans la mémoire flash de la carte DE2. Un fichier de base se trouve dans le répertoire Web_Server\system de votre projet. Voici comment procéder pour programmer la mémoire flash : Menu Nios II/Flash Programmer puis File New : Hervé BOEGLEN I.U.T. de Colmar Dépt. R&T 2012 7

Valider par OK. Cliquez ensuite sur le bouton Connections : Ajouter le fichier ro_zipfs.zip et cliquer sur le bouton Start pour programmer la mémoire flash : Nous ne souhaitons pas utiliser la fonctionnalité DHCP, pour cela il faut fixer une adresse IP statique. Editer pour cela le fichier web_server.h : Désactiver la fonctionnalité DHCP : bouton droit sur l icône Web_Server_bsp dans l onglet Project Explorer puis choisir Nios II/BSP Editor dans le menu contextuel. Décochez la case enable_dhcp_client. Cliquez ensuite sur le bouton Generate. Hervé BOEGLEN I.U.T. de Colmar Dépt. R&T 2012 8

Compilez le projet (Menu Project/Build All). Nous pouvons maintenant implanter ce projet sur la carte DE2. Voici comment procéder. Programmer le FPGA avec le fichier hardware contenant le processeur NIOS II. Allumez la carte DE2 et vérifiez qu elle est connectée au PC. Allez ensuite dans le menu NIOS II/Quartus II Programmer, sélectionner le fichier DE2_Web_Server_time_limited.sof et cliquez sur le bouton Start. Ne pas déconnecter la liaison entre le PC et la carte! Lancer le programme (Menu Run As/Nios II Hardware) : Si vous obtenez une erreur de ce type : Hervé BOEGLEN I.U.T. de Colmar Dépt. R&T 2012 9

Cliquez sur Refresh Connections puis Run. Si tout s est bien passé vous devriez obtenir quelque chose de similaire à ceci dans la console Nios II : Configurez la carte réseau de votre PC avec une adresse IP fixe qui doit être dans le même sous-réseau que la carte DE2. Brancher un câble Ethernet entre le PC et la carte DE2. Ouvrir un navigateur Web et taper 192.168.0.11 dans la barre d adresse : Hervé BOEGLEN I.U.T. de Colmar Dépt. R&T 2012 10

Vous devez constater que les boutons permettant de piloter les LED, les afficheurs 7 segments et l afficheur LCD sont inopérants! A vous de modifier le code pour que cela fonctionne! Expliquez également par quel mécanisme la page Web permet d agir sur la carte DE2 (sockets et méthode sweep notamment). Hervé BOEGLEN I.U.T. de Colmar Dépt. R&T 2012 11