"SoPC, acronyme de «System on Programmable Chip» (système sur puce



Documents pareils
Chapitre II-2 : Conception SoPC (Altera)

PACKZ System Requirements. Version: Version: Copyright 2015, PACKZ Software GmbH. 1

Quick Start Guide This guide is intended to get you started with Rational ClearCase or Rational ClearCase MultiSite.

Potentiels de la technologie FPGA dans la conception des systèmes. Avantages des FPGAs pour la conception de systèmes optimisés

Exécution des instructions machine

Package Contents. System Requirements. Before You Begin

Intel Corporation Nicolas Biguet Business Development Manager Intel France

Préconisations pour une gouvernance efficace de la Manche. Pathways for effective governance of the English Channel

Cedric Dumoulin (C) The Java EE 7 Tutorial

Architecture des calculateurs

Une méthode de conception de systèmes sur puce

Vers du matériel libre

Plan. Department of Informatics

1 Architecture du cœur ARM Cortex M3. Le cœur ARM Cortex M3 sera présenté en classe à partir des éléments suivants :

Notions d IPMI et retour. Ecole d électronique numérique Fréjus 28 novembre 2012 Nicolas LETENDRE

Conception de Systèmes de Communications Numériques

Utiliser une WebCam. Micro-ordinateurs, informations, idées, trucs et astuces

Génération de code binaire pour application multimedia : une approche au vol

en SCÈNE RATIONAL Rational Démonstration SDP : automatisation de la chaîne de développement Samira BATAOUCHE sbataouche@fr.ibm.com

PLM 2.0 : Mise à niveau et introduction à l'offre version 6 de Dassault systèmes

Editing and managing Systems engineering processes at Snecma

VMware : De la Virtualisation. au Cloud Computing

Instructions pour mettre à jour un HFFv2 v1.x.yy v2.0.00

Application Form/ Formulaire de demande

Windows Server Chapitre 1: Découvrir Windows Server 2008

Forthcoming Database

QlikView et Google Big Query : Une réponse simple, rapide et peu coûteuse aux analyses Big Data

HAUTE DISPONIBILITÉ DE MACHINE VIRTUELLE AVEC HYPER-V 2012 R2 PARTIE CONFIGURATION OPENVPN SUR PFSENSE

Chapitre 1 : Introduction aux méthodologies de conception et de vérification pour SE

RAPID Prenez le contrôle sur vos données

Software and Hardware Datasheet / Fiche technique du logiciel et du matériel

Webinaire Windows 8. Nous recommandons les logiciels Microsoft Nous recommandons les logiciels Microsoft

INSTRUMENTS DE MESURE SOFTWARE. Management software for remote and/or local monitoring networks

Instructions Mozilla Thunderbird Page 1

REMOTE DATA ACQUISITION OF EMBEDDED SYSTEMS USING INTERNET TECHNOLOGIES: A ROLE-BASED GENERIC SYSTEM SPECIFICATION

Microprocesseurs spatiaux Contraintes et perspectives

Completed Projects / Projets terminés

Guide de démarrage du système modulaire Sun Blade 6000

GIGABIT PCI DESKTOP ADAPTER DGE-530T. Quick Installation Guide+ Guide d installation+

AUDIT COMMITTEE: TERMS OF REFERENCE

Archived Content. Contenu archivé

BNP Paribas Personal Finance

Relions les hommes à l entreprise Linking people to companies

StruxureWare Power Monitoring v7.0. La nouvelle génération en matière de logiciel de gestion complète d énergie

Gouvernance et nouvelles règles d organisation

Quatre axes au service de la performance et des mutations Four lines serve the performance and changes

LOI SUR LA RECONNAISSANCE DE L'ADOPTION SELON LES COUTUMES AUTOCHTONES ABORIGINAL CUSTOM ADOPTION RECOGNITION ACT

PIB : Définition : mesure de l activité économique réalisée à l échelle d une nation sur une période donnée.

Services à la recherche: Data Management et HPC *

Containers : Outils magiques pour les Devops? OpenNebula et son écosystème pour une infrastructure cloud agile

IPv6: from experimentation to services

Fonctionnement et performance des processeurs

Innovative BI with SAP Jean-Michel JURBERT D. de Marché BI, HANA, BIG DATA _ SAP France

Projet de réorganisation des activités de T-Systems France

VMware ESX : Installation. Hervé Chaudret RSI - Délégation Centre Poitou-Charentes

Protection de l Investissement Virtualisation, Efficacité énergétique

THE OUAGADOUGOU RECOMMENDATIONS INTERNET INFRASTRUCTURE FOR AN AFRICAN DIGITAL ECONOMY 5-7 MARCH 2012

Architecture client riche Evolution ou révolution? Thomas Coustenoble IBM Lotus Market Manager

WEB page builder and server for SCADA applications usable from a WEB navigator

bienvenue chez Orange

Guide d'installation rapide TFM-560X YO.13

Tier 1 / Tier 2 relations: Are the roles changing?

I>~I.J 4j1.bJ1UlJ ~..;W:i 1U

Plateforme Technologique Innovante. Innovation Center for equipment& materials

Initiation au HPC - Généralités

Master4Light. Caractérisation Optique et Electrique des Sources Lumineuses. Equipement 2-en-1 : source de courant et spectrophotomètre

HSCS 6.4 : mieux appréhender la gestion du stockage en environnement VMware et service de fichiers HNAS Laurent Bartoletti Product Marketing Manager

What s New. Sun ONE Application Server. Version 7, Enterprise Edition

NIMBUS TRAINING. Administration de Citrix NetScaler 10. Déscription : Objectifs. Publics. Durée. Pré-requis. Programme de cette formation

Le projet «.frogans»

Notice Technique / Technical Manual

Outils d'analyse de la sécurité des réseaux. HADJALI Anis VESA Vlad

Discours du Ministre Tassarajen Pillay Chedumbrum. Ministre des Technologies de l'information et de la Communication (TIC) Worshop on Dot.

ÉCOLE POLYTECHNIQUE DE MONTRÉAL. Département de Génie Électrique. La technologie de TEXAS INSTRUMENTS DSP pour le cours Analyse des Signaux ELE2700

NOM ENTREPRISE. Document : Plan Qualité Spécifique du Projet / Project Specific Quality Plan

that the child(ren) was/were in need of protection under Part III of the Child and Family Services Act, and the court made an order on

SOA, 2 ans après où en est-on?

Atelier Progress Rollbase

Empowering small farmers and their organizations through economic intelligence

Exercices sur SQL server 2000

CEPF FINAL PROJECT COMPLETION REPORT

SERVEUR DÉDIÉ DOCUMENTATION

bienvenue chez Orange

Le socle de sécurité nouvelle génération Consolider, virtualiser et simplifier les architectures sécurisées

Architecture ordinateur. Organisation mémoire et Entrées/Sorties

Frequently Asked Questions

L offre décisionnel IBM. Patrick COOLS Spécialiste Business Intelligence

Lancement de la plateforme de private cloud IBM Connections en partenariat avec. 04 Novembre 2010

VTP. LAN Switching and Wireless Chapitre 4

Le Cloud Computing est-il l ennemi de la Sécurité?

English Q&A #1 Braille Services Requirement PPTC Q1. Would you like our proposal to be shipped or do you prefer an electronic submission?

Le No.1 de l économie d énergie pour patinoires.

MAC-TC: programmation d un plate forme DSP-FPGA

APPENDIX 6 BONUS RING FORMAT

Provide supervision and mentorship, on an ongoing basis, to staff and student interns.

POSITION DESCRIPTION DESCRIPTION DE TRAVAIL

Valorisez vos actifs logiciels avec Rational Asset Manager. Jean-Michel Athané, Certified IT Specialist IBM Rational Software

TABLE DES MATIERES A OBJET PROCEDURE DE CONNEXION

PRODUCTS LIST (updated 11th January 2010)

Interest Rate for Customs Purposes Regulations. Règlement sur le taux d intérêt aux fins des douanes CONSOLIDATION CODIFICATION

Transcription:

1

Exemple d'un SoPC à base de NIOS (Altera). "SoPC, acronyme de «System on Programmable Chip» (système sur puce reprogrammable en français), désigne un système complet embarqué sur une puce reprogrammable de type FPGA, pouvant comprendre de la mémoire (data / code), un ou plusieurs processeurs softcores, des périphériques d'interface, ou tout autre composant nécessaire à la réalisation de la fonction attendue." Wikipédia 2

Exemple d'une implémentation SoPC (Altera). 3

4

Mesure de la performance L'unité de mesure de la performance d'un processeur embarqué est le Dhrystone MIPS ou DMIPS. Le nombre Dhrystone est le nombre d'itération par seconde d'un code de benchmark (dévelopé1984 par Reinhold P. Weicker). Pour obtenir le DMIPS, on divise le Dhrystone par 1757 (le nombre de Dhrystones par seconde obtenu avec un VAX 11/780, qui est une machine 1 MIPS ). Le DMIPS/MHz est obtenu en divisant le DMIPS par la fréquence du processeur. 5

Le modèle VHDL LEON2 est un processeur 32 bits conforme à l'architecture IEEE-1754 (SPARC V8). Il est conçu pour les applications embarquées avec les fonctionnalités suivantes : traitement des entiers avec 5 niveaux de pipeline architecture Harvard, caches séparés données et instructions multiplicateur et diviseur (latence 35 cycles max) unité calcul flottant contrôleur tôl d'interruptions ti debugger avec trace deux timers 24 bits, deux UARTs, watchdog, port d'e/s de 16 bits, contrôleur mémoire, ethernet MAC et interface PCI. L'interfaçage avec les composants s'effectue par les bus AMBA AHB/APB. Le modèle VHDL est entièrement synthétisable avec la plupart des outils de synthèse et peut être implémenté dans des FPGAs et des ASICs. La simulation est supportée par tous les simulateurs conformes VHDL-87. Extrait adapté du LEON2 Processor User's Manual 6

7

SPARC implementation Eight cores, four threads each 32 simultaneous threads All cores connect through a 134.4 GB/s crossbar switch High BW 12-way associative 3 MB on-chip L2 cache 4 DDR2 channels (23 GB/s) ~300M transistors 8

Ce pipeline à 6 étages comprend, en plus des 5 étages classiques, un étage de sélection des threads pour la gestion du multithreading ( 4 threads par coeur). Chaque cœur SPARC contient les unités suivantes: 1. L'Instruction fetch unit (IFU) se compose des étages suivants du pipeline fetch, la sélection de thread et décodage des instructions. L'IFU comprend également un cache d'instructions complexe. 2. L' Execution unit (EXU) comprend l'étage exécution du pipeline. 3. La Load/store unit (LSU) inclut la mémoire et écriture registre (Writeback) et un cache de données complexe. 4 La Trap logic unit (TLU) inclut la logique de traitement des exceptions 5. La Stream processing unit (SPU) est utilisée pour la cryptographie 6. Unité de gestion de mémoire (MMU). 7. Interface avec le FPU(FGU). "Although many people reported that Sun Microsystems SPARC T1...is an implementation of SPARC focused almost entirely on exploiting SMT (simultaneous multithreading) and CMP(chip-level multithreading) techniques, T1 is not actually using SMT. Sun refers to these combined approaches as "CMT", and the overall concept as "Throughput Computing". The T1 has 8 cores, but each core has only one pipeline, so actually it uses fine-grained multithreading. Unlike SMT, where instructions from multiple threads share the issue window each cycle, the processor uses a round robin policy to issue instructions from the next active thread each cycle." Extrait adapté de l'article Wikipedia Simultaneous Multithreading 9

Le bus OPB (On-Chip Peripheral Bus) relie les périphériques au processeur MicroBlaze. Le bus LMB (Local Memory Bus) permet un accès rapide à la mémoire. Le bus FSL (Fast Link Support) permet l'échange de données avec des co-processeurs. 10

Full 32-bit instruction set, data path, and address space 32 general-purpose registers 32 external interrupt sources Single-instruction 32 32 multiply and divide producing a 32-bit result Dedicated instructions for computing 64-bit and 128-bit products of multiplication Floating-point instructions for single-precision floating-point operations Single-instruction barrel shifter Access to a variety of on-chip peripherals, and interfaces to off-chip memories and peripherals Hardware-assisted debug module enabling processor start, stop, step, and trace under integrated development environment (IDE) control Optional memory management unit (MMU) to support operating systems that require MMUs Optional memory protection unit (MPU) Software development environment based on the GNU C/C++ tool chain and Eclipse IDE Integration with Altera's SignalTap II Embedded Logic Analyzer, enabling real-time analysis of instructions and data along with other signals in the FPGA design Instruction set architecture (ISA) compatible across all Nios II processor systems Performance up to 250 DMIPS 11

RISC architecture 32-bit data path 32-bit instructions 32 general purpose registers Handles up to 32 external interrupts Optional instruction cache Optional data cache WISHBONE memory interfaces (Instruction and Data) 12

ARMv6-M instruction set architecture Configurable TCM (Tightly Coupled Memory) instruction and data memories (1KB to 1024KB) 3-stage stage, 32-bit pipeline 1 to 32 interrupts 4 interrupt priority levels Fast or small multiplier Big/little endianness support Executes all Thumb code can run ARM7 and ARM9 Thumb subroutines Upward compatible with Cortex-M3 All Cortex-M1 I/Os and signals are accessible to the user Embedded real-time debug and JTAG interface Removable debug, breakpoint and watchpoint 13

100 percent instruction set compatible to all ColdFire family processors Supported by all existing ColdFire software ecosystem, including operating systems and tools Optimized for the Altera Cyclone III FPGAs, small and robust core SOPC Builder compatible $0 licensing fee and $0 royalty for the core Commercial support available Fully-synthesizable core Variable length RISC 16-bit, 32-bit, and 48-bit instructions 16 user-accessible, 32-bit wide general purpose registers 32-bit data bus with 24-bit address bus supporting 16 MB linear addressing Independent, decoupled pipelines 2-stage instruction fetch pipeline (IFP) 2-stage operand execution pipeline (OEP) FIFO instruction buffer provides decoupling 14

32-bit architecture implementing ORBIS32 instruction set Scalar, single-issue 5-stage pipeline delivering sustained throughput Single-cycle instruction execution on most instructions 250 MIPS performance @ 250MHz worstcase conditions Predictable execution rate for hard real-time applications Fast and deterministic internal interrupt response Thirty-two, 32-bit general-purpose registers DSP MAC 32x32 Custom user instructions Harvard model with split instruction and data cache Instruction/data cache size scalable from 1KB to 64KB Physically tagged and addressed Cache management special-purpose registers Memory Management Unit Harvard model with split instruction and data MMU Instruction/data TLB size scalable from 16 to 256 entries Direct-mapped hash-based TLB Linear address space with 32-bit virtual address and physical address from 24 to 32 bits Page size 8KB with per-page attributes 15

16

17

18

19

20

Cette page est volontairement laissée vide. 21

SoPC busses AMBA Specification (Rev 2.0) Copyright ARM Limited 1999. ARM IHI 0011A WISHBONE System-on-Chip (SoC) Interconnection Architecture for Portable IP Cores Silicores - Revision: B.3, Released: September 7, 2002 Avalon Interface Specifications April 2009 Altera Corporation CoreConnect Bus Architecture IBM - Copyright International Business Machines Corporation 1999 Articles Soft-Core Processors for Embedded Systems Jason G. Tong, Ian D. L. Anderson and Mohammed A. S. Khalid An Overview of On-Chip Buses Milica Miti c and Mile Stojˇcev SER.: ELEC. ENERG. vol. 19, no. 3, December 2006, 405-428 22