Présentation. J. Lorandel 26/06/2014. Consommation de puissance dynamique des systèmes de télécommunication sans fils sur FPGA. IETR INSA de Rennes

Documents pareils
Quoi de neuf en LabVIEW FPGA 2010?

Modélisation de la Reconfiguration Dynamique appliquée à un décodeur LDPC Non Binaire

MAC-TC: programmation d un plate forme DSP-FPGA

Thème 3 Conception et vérification d architectures de systèmes sur puce

Vérifier la qualité de vos applications logicielle de manière continue

UNIVERSITÉ DE MONTRÉAL ANALYSE DE PERFORMANCE MULTI-NIVEAU ET PARTIONNEMENT D APPLICATION RADIO SUR UNE PLATEFORME MULTIPROCESSEUR

Conception et Intégration de Systèmes Critiques

UNIVERSITE HASSAN II DE CASABLANCA. FACULTE DES SCIENCES & TECHNIQUES MOHAMMEDIA Département Génie Electrique

Thèse. présentée devant l Université de Rennes 1. pour obtenir le titre de. Docteur de l université de Rennes 1. spécialité : Électronique

QUESTION 1 {2 points}

Profil UML pour TLM: contribution à la formalisation et à l automatisation du flot de conception et vérification des systèmes-sur-puce.

IRL : Simulation distribuée pour les systèmes embarqués

Implémentation FPGA d'algorithmes de surveillance de trafic. Projet 11 : Benoît FONTAINE Tristan GROLÉAT Franziska HUBERT

2015 // des formations. programme. Retrouvez toutes ces informations sur enseirb-matmeca.bordeaux-inp.fr

CAP CAMION A ASSISTANCE PNEUMATIQUE

Implémentation Matérielle des Services d un RTOS sur Circuit Reconfigurable

Prise en compte des ressources dans les composants logiciels parallèles

Agrégation de liens xdsl sur un réseau radio

Notice d Utilisation du logiciel Finite Element Method Magnetics version 3.4 auteur: David Meeker

«Valuation» et gestion du risque dans la biotech. 5 Février 2007

Étude des Corrélations entre Paramètres Statiques et Dynamiques des Convertisseurs Analogique-Numérique en vue d optimiser leur Flot de Test

Grandes lignes ASTRÉE. Logiciels critiques. Outils de certification classiques. Inspection manuelle. Definition. Test

Hypervision et pilotage temps réel des réseaux IP/MPLS

Votre Réseau est-il prêt?

La Certification de la Sécurité des Automatismes de METEOR

Partie 1: Gestion de l interférence entre symboles

La couche physique de l ADSL (voie descendante)

Séminaire RGE REIMS 17 février 2011

Process 4D Catalogue de formations 2011

Organisation du parcours M2 IR Les unités d enseignements (UE) affichées dans la partie tronc commun sont toutes obligatoires, ainsi que le stage et

Enseignement secondaire technique

ELP 304 : Électronique Numérique. Cours 1 Introduction

Soutenance de stage Laboratoire des Signaux et Systèmes

Extrait des Exploitations Pédagogiques

Sanity Check. bgcolor mgcolor fgcolor

Le Cadre Européen des Certifications: les principaux défis. Manuela Bonacci Roma, le 9 juin 2010

INGENIERIE DES SYSTEMES INFORMATIQUES - PARCOURS : MOBILITE ET CLOUD COMPUTING

TELEVISION NUMERIQUE

LES IMPACTS SUR VOTRE SYSTEME DE FACTURATION DE LA SIGNATURE ELECTRONIQUE COMME OUTIL DE SECURISATION DE VOS ECHANGES DEMATERIALISES

Efficacité énergétique pour les particuliers : une solution pour le monitoring énergétique

Parcours en deuxième année

Détecteurs de mouvement Anti-intrusion. Nous vous avons écouté

Limitations of the Playstation 3 for High Performance Cluster Computing

Auditer son environnement Telecom Un des fondements du projet TEM

Le turbo met les gaz. Les turbines en équation

Interception des signaux issus de communications MIMO


Quoi de neuf en contrôle/commande et systèmes embarqués (RIO, WSN...)?

ECTS INFORMATIQUE ET RESEAUX POUR L INDUSTRIE ET LES SERVICES TECHNIQUES

Système de Gestion de Fichiers

Chapitre 1 : Introduction aux méthodologies de conception et de vérification pour SE

LICENCE PROFESSIONNELLE EN MANAGEMENT ET ECONOMIE DU NUMERIQUE (LIPMeN)

Eclipse Process Framework et Telelogic Harmony/ITSW

NFP111 Systèmes et Applications Réparties

Master Informatique Aix-Marseille Université

Simulation de systèmes. Logiciel de simulation

PLAteforme d Observation de l InterNet (PLATON)

L Internet des objets

AXES DE RECHERCHE - DOMAINE D'INTERET MAJEUR LOGICIELS ET SYSTEMES COMPLEXES

Pôle Performance Industrielle Durable. Page 1 Rencontre SEE Le 20/05/2014 Lille. Innover

BES WEBDEVELOPER ACTIVITÉ RÔLE

2. DIFFÉRENTS TYPES DE RÉSEAUX

COMMENT BOOSTER LA PERFORMANCE DE VOTRE ENTREPRISE? QUELLES SOLUTIONS ERP A L ERE DU NUMERIQUE? JEN 9 & 10 AVRIL 2015

Masses de données. 1. Introduction 2. Problématiques 3. Socle de formation (non présenté) 4. Liens avec Formation INSA

Groupe Eyrolles, 2004, ISBN :

Outils de traitements de logs Apache

Potentiels de la technologie FPGA dans la conception des systèmes. Avantages des FPGAs pour la conception de systèmes optimisés

DEVELOPPEMENT ET MAINTENANCE DE LOGICIEL: OUTIL DE PILOTAGE

Les Réseaux Informatiques

THÈSE PRÉSENTÉE À L UNIVERSITÉ BORDEAUX 1 ÉCOLE DOCTORALE DES SCIENCES PHYSIQUES DE L INGÉNIEUR. Par Michaël GRAND POUR OBTENIR LE GRADE DE DOCTEUR

Les systèmes embarqués Introduction. Richard Grisel Professeur des Universités Université de Rouen Nacer Abouchi Professeur ESCPE Lyon

Outils informatiques intégrés pour. de nouveaux produits

Débouchés professionnels : des perspectives multiples. Conditions d accès : La formation à L INPT :

L utilisation d un réseau de neurones pour optimiser la gestion d un firewall

MACHINE VIRTUELLE POUR LA RADIO LOGICIELLE

Travaux soutenus par l ANR. Jean-François CAPURON (DGA) Bruno LEGEARD (Smartesting)

Julien MATHEVET Alexandre BOISSY GSID 4. Rapport RE09. Load Balancing et migration

Elaboration de scénarios pour la mise en place de la Géo-plateforme CIGAL

UFR d Informatique. FORMATION MASTER Domaine SCIENCES, TECHNOLOGIE, SANTE Mention INFORMATIQUE

La valorisation des terres excavées

Disponibilité et fiabilité des services et des systèmes

19 au 23 Juillet 2011

Altium Designer la conception électronique sous un angle différent

INDUSTRIALISATION ET RATIONALISATION

RTDS G3. Emmanuel Gaudin

Éléments d informatique Cours 3 La programmation structurée en langage C L instruction de contrôle if

Réseaux Mobiles et Haut Débit

Processus d Informatisation

Vers l Internet Synthèse Bibliographique -

Modélisation et simulation des performances de nœuds de routage optique dans les réseaux dorsaux hybrides

MANAGEMENT DES SYSTEMES D INFORMATION ET DE PRODUCTION MSIP

TD 2 Chapitre 4 : Support des Services et Serveurs. Objectifs : Maîtriser l'exploitation des tables de routage dynamique.

LE MARCHÉ FRANÇAIS DU MEUBLE DE SALLE DE BAINS BON DE COMMANDE

T. Gasc 1,2,3, F. De Vuyst 1, R. Motte 3, M. Peybernes 4, R. Poncet 5

Travaux pratique (TP2) : simulation du canal radio sous ADS. Module FIP RT321 : Architectures des émetteurs-récepteurs radio

BAROMETRE DE CONJONCTURE DE L HEBERGEMENT D ENTREPRISES

TV NUMERIQUE MOBILE : DU DVB-T AU DVB-H

Chapitre 1 Le routage statique

N d ordre : 4330 ANNÉE THÈSE / UNIVERSITÉ DE RENNES 1 sous le sceau de l Université Européenne de Bretagne. pour le grade de

SOLUTIONS DE CONTRÔLE INDUSTRIEL SYSTÈME AVANCÉ DE COMMANDE DU TRAÇAGE ÉLECTRIQUE NGC-30

Transcription:

Présentation Consommation de puissance dynamique des systèmes de télécommunication sans fils sur FPGA IETR INSA de Rennes J. Lorandel 26/06/2014 1 J. Lorandel IETR INSA de Rennes 1

Plan I. Introduction & Contexte II. Présentation de la méthodologie développée III. Conclusion IV. Perspectives 2 J. Lorandel IETR INSA de Rennes 2

I. Introduction & Contexte Contexte FPGA : technologie attractive -Nombre de ressources important -Flexibilité et bonnes performances -Temps de développement court vs ASIC -Réutilisation de bloc (IP : Intellectual Property) Systèmes de télécommunication actuels sont de plus en plus complexes -Haut niveau de performances (Débit, Vitesse de calcul ) -Fortes contraintes liées à l embarqué (durée de vie des batteries ) Estimer la consommation de puissance du système au plus tôt dans le flot de conception est crucial 3 J. Lorandel IETR INSA de Rennes 3

I. Introduction & Contexte Origines de la consommation de puissance dans les FPGAs Sources Puissance statique Puissance dynamique Fuites de courants des transistors Activité de commutation des transistors Fortement dépend de la technologie.température.nombre de ressources.tension.fréquence.tension. Activité.Capacité effective du circuit Focalisation sur la puissance dynamique -Réductions majeures possibles par les concepteurs de systèmes 4 J. Lorandel IETR INSA de Rennes 4

III. Présentation de la méthodologie Objectifs : Système Estimation rapide de la consommation de puissance dynamique en fonction de paramètres haut-niveau (algorithmique, système) Méthodologie basée uniquement à partir de l étude de composants gros grains (IP core ou module VHDL) 5 J. Lorandel IETR INSA de Rennes 5

III. Présentation de la méthodologie Avec quels outils? Système XPower Analyzer : outil propriétaire Xilinx SystemC : Classe C++ avec son propre noyau de simulation et des propriétés de description proches du VHDL Dans quels buts? Gain de temps : -Eviter de développer l ensemble du système en VHDL -Flexibilité de modification du système sous test à haut niveau Prise en compte du scénario utilisateur (jeu de paramètres haut niveau) dans les estimations 6 J. Lorandel IETR INSA de Rennes 6

III. Présentation de la méthodologie Principe général Système Puissance? IP cores modules VHDL Exemples : (I)FFT, Turbo encodeur, Turbo décodeur (Dé)modulateur QAM, contrôle En 2 étapes 1) Caractérisation individuelle en consommation de chaque composant 2) Simulation haut-niveau du système en fonction du scénario utilisateur (prise en compte du temps d activité de chaque bloc, de l architecture..) 7 J. Lorandel IETR INSA de Rennes 7

III. Présentation de la méthodologie Méthodologie : 1 ère étape Caractérisation individuelle de chaque module: -ISE: Génération d un modèle de simulation bas-niveau en VHDL (comportement proche technologie) -ModelSim: Simulation du modèle bas niveau => Génération du fichier d activité (.vcd) reflétant une activité de 100% sur la durée de simulation -XPower: Estimation de consommation de puissance de l IP ou du module VHDL considéré. 8 J. Lorandel IETR INSA de Rennes 8

III. Présentation de la méthodologie Méthodologie : 2 ème étape Estimation de la consommation de puissance du système global A l aide d une description haut-niveau du système global en SystemC : Détermination des coefficients d activités de chaque IP en fonction de paramètres haut-niveau (scénario utilisateur) lors de la simulation du système Détermination de la consommation du système global à partir des estimations obtenues précédemment en phase de caractérisation et du jeu de coefficients Avantages : - Flexibilité d ajout/retrait d IP du système global - Ne requiert pas le développement du système entier - L étape de caractérisation a besoin d être effectuée une seule fois - Coefficients d activités sont obtenus rapidement à haut-niveau - Bon compromis entre précision et temps de caractérisation (Modèle proche de la technologie) - Une librairie peut être créée afin de réutiliser les composants déjà caractérisés 9 J. Lorandel IETR INSA de Rennes 9

III. Présentation de la méthodologie Application : chaîne SISO-OFDM Définition des scénarios : 10 J. Lorandel IETR INSA de Rennes 10

III. Présentation de la méthodologie Quelques résultats : Application sur chaîne SISO-OFDM Résultats d estimation pour l ensemble TX Résultats concluants de l application de la méthodologie : Erreur absolue maximale d estimation par rapport à XPower de 4.767% Sources d erreur : Précision des estimations d XPower en phase de caractérisation optimisations logicielles inévitables 11 J. Lorandel IETR INSA de Rennes 11

IV. Conclusion La méthodologie développée possède une bonne précision, apporte de la flexibilité et accélère grandement le temps d estimation de consommation de puissance d un système complet Dépendance des estimations relatives à XPower, des mesures réelles sur plate-forme permettraient de valider la précision de la méthodologie 12 J. Lorandel IETR INSA de Rennes 12

V. Perspectives A court terme : Application de la méthodologie sur des systèmes SISO, MISO, MIMO dans les contextes LTE et 802.16 (WIMAX) Comparaison des estimations pour les différents standards Dans un second temps : Définition de nouvelles métriques de comparaison d algorithmes intégrant la consommation de puissance dynamique (en plus des métriques ordinaires comme le TEB, ) Exemple : Consommation de puissance dynamique / Débit 13 J. Lorandel IETR INSA de Rennes 13

Merci pour votre attention 14 J. Lorandel IETR INSA de Rennes 14