ÉLECTRONIQUE DES CIRCUITS INTÉGRÉS ÉLECTRONIQUE LOGIQUE ET NUMÉRIQUE DOCUMENT DE SYNTHÈSE

Dimension: px
Commencer à balayer dès la page:

Download "ÉLECTRONIQUE DES CIRCUITS INTÉGRÉS ÉLECTRONIQUE LOGIQUE ET NUMÉRIQUE DOCUMENT DE SYNTHÈSE"

Transcription

1 ÉLECTRONIQUE DES CIRCUITS INTÉGRÉS ÉLECTRONIQUE LOGIQUE ET NUMÉRIQUE DOCUMENT DE SYNTHÈSE Ressources pédagogiques: Forum aux questions : et cliquer sur Électronique dans la liste des forums

2 1 I. INTRODUCTION II. ALGÈBRE DE BOOLE 1) Définition des opérations : Négation : A = 0 A = 1 A = 1 A = 0 ET (noté ou ) : A B = 1 A = 1 et B = 1 OU (noté + ou ) : A + B = 1 A = 1 ou B = 1 OU EXCLUSIF (noté ) : A B = 1 A = 1 ou B = 1 mais pas les deux à la fois 2) s : Théorème de De Morgan : Relations d absorption : ( A) = A A + A = 1 A + A = A A A = 0 ( ) = A B + A C A B + C A + B = A B A B = A + B A + A B = A ( ) = A A A + B B A + B A = B 3) Tables de vérité et tables de KARNAUGH a/ Table de vérité : Pour une fonction logique de N variables : tableau à 2 N lignes et N + 1 colonnes (Figure 1).

3 2 Définition Chaque ligne correspond à une combinaison des N variables. Les N premières colonnes contiennent les variables, la colonne N +1 contient la valeur de la fonction. Figure 1 b/ Table de KARNAUGH : Pour une fonction logique de N variables : tableau à 2 N cases (Figure 2). Définition Chaque case correspond à une combinaison des variables ; elle contient la valeur que prend la fonction pour cette combinaison. 1 seule variable change lorsque l on passe d une case à une case adjacente (code de GRAY). Le diagramme est cyclique. Figure 2 Justification et exemples :

4 3 4) Simplification des fonctions logiques à l aide des tables de Karnaugh Trois étapes : Repérer les cases contenant des «1» qui sont isolées ; pour chacune des ces cases, écrire le produit logique formé des variables qui valent 1 et des compléments de celles qui valent 0. Repérer les groupes les plus grands possible, en lignes, colonnes, carrés ou rectangles, de 2 k cases adjacentes (k entier positif) contenant des «1»; pour chaque groupe, trouver les variables «invariantes», c est-à-dire qui ont les mêmes valeurs pour toutes les cases du groupe. Écrire le produit logique des variables invariantes qui valent 1 et des compléments des variables invariantes qui valent 0. Écrire la somme logique de ces produits logiques (Figure 3). Figure 3

5 4 Exercices et applications: III. CIRCUITS COMBINATOIRES ÉLÉMENTAIRES Définition L état de la sortie d un circuit combinatoire à un instant donné ne dépend que de l état de ses entrées au même instant. Figure 4 Figure 5

6 5 Figure 6 Figure 7

7 IV. CIRCUITS SÉQUENTIELS 6 Définition L état de la sortie d un circuit séquentiel à un instant donné dépend de l état de ses entrées au même instant et de l état passé de celles-ci : ce sont des circuits qui ont une forme de «mémoire». 1) Mémoire RS La mémoire RS présentée en cours à titre d exemple est constituée de deux portes NOR (NON-OU) ; la sortie de chacune des portes est connectée à une des entrées de l autre. La mémoire RS possède donc deux entrées (appelées R et S) et deux sorties (appelées P et Q). s Lorsque les deux entrées P et Q sont complémentaires, Q reproduit S (donc P reproduit R). Lorsque l entrée qui était à 1 passe à zéro, les sorties ne changent pas : la mémoire de l état précédent des sorties est conservée. Si les entrées passent de (1 1) à (0 0) le résultat est imprévisible. Voir Figure 8 et Figure 9 Remarque On peut aussi réaliser une mémoire RS à l aide de deux portes NAND, voir TP. Figure 8

8 7 Figure 9 Animation : Application : dispositif anti-rebond (Figure 10). Figure 10 2) Exemple de circuit séquentiel asynchrone : verrou («latch») D Ce circuit possède une entrée de donnée, une entrée de commande de verrouillage, et une sortie (ou deux sorties complémentaires l une de l autre). Dans l exemple de réalisation étudié en cours, le circuit est constitué d une mémoire RS, d un inverseur et de deux portes ET (Figure 11).

9 8 Si le verrou est ouvert (entrée de verrouillage à 1 dans l exemple étudié), le circuit est «transparent» : il laisse passer le signal (avec évidemment un retard dû à la propagation des signaux). Si le verrou est fermé (entrée de verrouillage à 0 dans l exemple étudié), la sortie reste constante, égale à la valeur qu elle avait au moment de la fermeture du verrou. Figure 11 Le fonctionnement est asynchrone : les sorties changent dès que l état des entrées l exige. 3) Exemple de circuit séquentiel synchrone : bascule JK Un circuit synchrone est un circuit qui comprend, outre les entrées de données et les sorties, une entrée de synchronisation, souvent appelée entrée d horloge. Les sorties ne peuvent changer d état (si l état des entrées l exige) qu au moment où l entrée d horloge change d état : au moment où l entrée d horloge passe de 1 à 0 si le circuit utilisé est déclenché sur un front descendant (negative-edge triggered), au moment où l entrée d horloge passe de 0 à 1 si le circuit utilisé est déclenché sur un front montant (positive-edge triggered).

10 9 Exemple : bascule JK negative-edge triggered Ce circuit (Figure 12) comporte deux entrées de données (J et K), deux sorties (Q et Q ), et une entrée de synchronisation (entrée d horloge). Figure 12 Si les entrées sont complémentaires, Q reproduit l état dans lequel se trouve l entrée J au moment du front descendant du signal de synchronisation. Si les entrées valent 0, la sortie Q garde la valeur qu elle avait au front précédent du signal de synchronisation. Si les entrées valent 1, la sortie Q change d état au moment du front descendant du signal de synchronisation. De plus, le circuit comprend deux entrées «clear» et «preset» qui permettent d imposer l état de la sortie Q (donc celle de Q ), de manière asynchrone. Cette propriété permet, par exemple, de fixer les conditions initiales de fonctionnement du circuit, après la mise sous tension de celui-ci. Simulation : Application (Figure 13) : compteur par 2 (diviseur de fréquence) 4) Bascule D La bascule D possède une entrée de donnée, une sortie (ou deux sorties complémentaires) et un signal d horloge. Elle possède également les entrées Clear et Preset. Elle est constituée d une bascule JK et d un inverseur (Figure 14).

11 10 Figure 13 Figure 14 À chaque front montant (si la bascule JK est déclenchée sur un front montant) ou à chaque front descendant (si la bascule JK est déclenchée sur un front descendant), la sortie Q reproduit l entrée de donnée.

12 11 5) Opérateur séquentiel universel : registre à décalage Tout opérateur logique séquentiel peut être réalisé par une combinaison de registres à décalage. Définition Un registre est une mémoire qui permet de stocker un mot de N bits. Un registre à décalage est constitué d un ensemble de bascules JK et de circuits combinatoires. L écriture ou la lecture des données du registre sont assurées par les entrées Preset et Clear des bascules. Les données peuvent être décalées vers la gauche ou vers la droite de manière synchrone, sous l action d un signal de commande. L exemple présenté en cours (Figure 15) est un registre de 4 bits avec chargement parallèle et décalage des données vers la droite. Figure 15

13 12 V. LOGIQUE COMBINATOIRE : AIGUILLAGE D INFOR- MATIONS, FONCTIONS ARITHMÉTIQUES 1) Décodage (démultiplexage) : Objectif Envoyer un signal à une destination donnée définie par un code (adresse). Dans la version la plus simple du décodeur, la seule sortie activée est celle dont le numéro apparaît (en code binaire) sur les entrées d adresse. Un tel décodeur possède donc N entrées d adresse et 2 N sorties (Figure 16). Figure 16 Il existe des décodeurs plus élaborés, pour lesquels une combinaison de sorties (et non une sortie unique) est activée en réponse à un nombre binaire présenté sur les entrées d adresse. Exemple (Figure 17) : décodeur BCD-7 segments pour afficheur numérique. Figure 17 Compléments : 2) Codage : Objectif Créer un code binaire indiquant la provenance d une information. Un décodeur possède 2 N entrées et N sorties.

14 13 La sortie indique, dans un code binaire, le numéro de l entrée qui est active. Exemple : circuit de codage d un clavier Figure 18 Auto-évaluation : concevoir un circuit qui indique qu une ou plusieurs touches sont actives. 3) Multiplexage : Objectif Utiliser le même canal d informations pour transmettre successivement des informations (multiplexage temporel). Un multiplexeur possède N entrées de données, 2 N entrées d adresse et une sortie. La sortie reproduit la donnée présente sur l entrée dont le numéro est indiqué par les entrées d adresse. Démonstrations et schémas logiques : mux-demux/mux21-mux41.html mux-demux/sn74151.html

15 14 Exemple : conversion parallèle-série (Figure 19). Figure 19 4) Circuits arithmétiques : additionneur et demi-additionneur Objectif Réaliser des opérations arithmétiques sur des nombres binaires à l aide de circuits logiques. Rappel : l arithmétique binaire utilise deux chiffres (0 et 1). Le nombre binaire qui s écrit c 1 c 2 c 3 c n (avec c i = 0 ou 1) a pour valeur 2 0 c n c n n 1 c 1. Conséquence : 1+ 0 = 0 +1= 1 ; 1+1= 10. Exemple : un demi-additionneur (qui ne tient pas compte d une éventuelle retenue) peut être réalisé à l aide d un circuit OU-exclusif et d une porte ET (Figure 20). Il possède deux entrées (les deux bits qui constituent des deux opérandes de l addition) et deux sorties (le résultat de l addition et la retenue). Figure 20

16 15 Un additionneur (Figure 21) est constitué de deux demi-additionneurs et d un circuit OU. Il possède trois entrées (les deux opérandes et la retenue) et deux sorties (le résultat de l opération et la retenue). Figure 21 Autre réalisation : La multiplication par 2 en arithmétique binaire consiste en un décalage de tous les chiffres de l opérande d un rang vers la gauche, et l introduction d un zéro à droite. Elle est donc facilement réalisée par un registre à décalage. De même la division par 2 consiste en un décalage de tous les chiffres de l opérande d un rang vers la droite, et l introduction d un zéro à gauche. 5) Test de parité Exemple de réalisation d un test simple de détection d erreur (Figure 22), très important pour vérifier la qualité de la transmission des données entre systèmes numériques (ordinateurs, téléphones, ). Figure 22

17 16 VI. CIRCUITS À SORTIE TRI-STATE Un circuit logique à sortie tri-state possède, outre les entrées et sorties nécessaires pour réaliser la fonction logique souhaitée, une entrée supplémentaire «de validation» ( Figure 23). Figure 23 Lorsque cette entrée est active (égale à 1 dans l exemple présenté), le circuit réalise normalement la fonction logique ou numérique pour laquelle il est conçu. Lorsque l entrée de validation est inactive (égale à 0 dans l exemple présenté), le circuit présente une impédance de sortie infinie. Il est alors incapable d imposer une tension sur sa sortie. Cette propriété permet de brancher plusieurs circuits sur la même connexion ou sur le même ensemble de connexions (appelé «bus») qui servent à la transmission des données entre différents éléments d un système numérique. L exemple présenté en cours est la lecture des circuits de mémoire d un ordinateur (Figure 24). VII. TECHNOLOGIES TTL ET MOS TTL (standard) CMOS (HC) Tension d alimentation 5 Volts ± 5% 2 6 Volts Retard de propagation 9 ns 14 ns Consommation par porte 10 mw 75 µw à 100 khz Compléments :

18 17 Figure 24

19

Logique binaire. Aujourd'hui, l'algèbre de Boole trouve de nombreuses applications en informatique et dans la conception des circuits électroniques.

Logique binaire. Aujourd'hui, l'algèbre de Boole trouve de nombreuses applications en informatique et dans la conception des circuits électroniques. Logique binaire I. L'algèbre de Boole L'algèbre de Boole est la partie des mathématiques, de la logique et de l'électronique qui s'intéresse aux opérations et aux fonctions sur les variables logiques.

Plus en détail

IFT1215 Introduction aux systèmes informatiques

IFT1215 Introduction aux systèmes informatiques Introduction aux circuits logiques de base IFT25 Architecture en couches Niveau 5 Niveau 4 Niveau 3 Niveau 2 Niveau Niveau Couche des langages d application Traduction (compilateur) Couche du langage d

Plus en détail

Architecture des ordinateurs TD1 - Portes logiques et premiers circuits

Architecture des ordinateurs TD1 - Portes logiques et premiers circuits Architecture des ordinateurs TD1 - Portes logiques et premiers circuits 1 Rappel : un peu de logique Exercice 1.1 Remplir la table de vérité suivante : a b a + b ab a + b ab a b 0 0 0 1 1 0 1 1 Exercice

Plus en détail

FONCTION COMPTAGE BINAIRE ET DIVISION DE FRÉQUENCE

FONCTION COMPTAGE BINAIRE ET DIVISION DE FRÉQUENCE I/ GÉNÉRALITÉS I.1/ Fonction Un compteur binaire est utilisé : -pour compter un certain nombre d'évènements binaires -pour diviser la fréquence d'un signal logique par 2 m Page 1 FONCTION COMPTAGE BINAIRE

Plus en détail

VIII- Circuits séquentiels. Mémoires

VIII- Circuits séquentiels. Mémoires 1 VIII- Circuits séquentiels. Mémoires Maintenant le temps va intervenir. Nous avions déjà indiqué que la traversée d une porte ne se faisait pas instantanément et qu il fallait en tenir compte, notamment

Plus en détail

ELP 304 : Électronique Numérique. Cours 1 Introduction

ELP 304 : Électronique Numérique. Cours 1 Introduction ELP 304 : Électronique Numérique Cours 1 Introduction Catherine Douillard Dépt Électronique Les systèmes numériques : généralités (I) En électronique numérique, le codage des informations utilise deux

Plus en détail

Algèbre binaire et Circuits logiques (2007-2008)

Algèbre binaire et Circuits logiques (2007-2008) Université Mohammed V Faculté des Sciences Département de Mathématiques et Informatique Filière : SMI Algèbre binaire et Circuits logiques (27-28) Prof. Abdelhakim El Imrani Plan. Algèbre de Boole 2. Circuits

Plus en détail

Logique séquentielle

Logique séquentielle Bascules et logique séquentielle aniel Etiemble de@lri.fr Logique séquentielle Logique séquentielle Le système a des «états» ans un système séquentiel Éléments de mémorisation Les sorties dépendent des

Plus en détail

ET 24 : Modèle de comportement d un système Boucles de programmation avec Labview.

ET 24 : Modèle de comportement d un système Boucles de programmation avec Labview. ET 24 : Modèle de comportement d un système Boucles de programmation avec Labview. Sciences et Technologies de l Industrie et du Développement Durable Formation des enseignants parcours : ET24 Modèle de

Plus en détail

Les fonctions logiques

Les fonctions logiques 1 Les fonctions logiques Le fonctionnement des ordinateurs tout comme d autres appareils électroniques repose sur l emploi des circuits électroniques de logique binaire ou électronique numérique. Dans

Plus en détail

Université de La Rochelle. Réseaux TD n 6

Université de La Rochelle. Réseaux TD n 6 Réseaux TD n 6 Rappels : Théorème de Nyquist (ligne non bruitée) : Dmax = 2H log 2 V Théorème de Shannon (ligne bruitée) : C = H log 2 (1+ S/B) Relation entre débit binaire et rapidité de modulation :

Plus en détail

RESUME DE COURS ET CAHIER D'EXERCICES

RESUME DE COURS ET CAHIER D'EXERCICES ARCITECTURE INFO-UP REUME DE COUR ET CAIER D'EXERCICE EPITA F. GABON Architecture EPITA INFO-UP F. Gabon COUR LIVRE D ARCITECTURE 3 REUME D'ELECTRONIUE LOGIUE 4 YTEME DE NUMERATION 6 ALGEBRE DE BOOLE 6

Plus en détail

Les portes logiques. Voici les symboles des trois fonctions de base. Portes AND. Portes OR. Porte NOT

Les portes logiques. Voici les symboles des trois fonctions de base. Portes AND. Portes OR. Porte NOT Les portes logiques Nous avons jusqu ici utilisé des boutons poussoirs et une lampe pour illustrer le fonctionnement des opérateurs logiques. En électronique digitale, les opérations logiques sont effectuées

Plus en détail

Système binaire. Algèbre booléenne

Système binaire. Algèbre booléenne Algèbre booléenne Système binaire Système digital qui emploie des signaux à deux valeurs uniques En général, les digits employés sont 0 et 1, qu'on appelle bits (binary digits) Avantages: on peut utiliser

Plus en détail

Représentation des Nombres

Représentation des Nombres Chapitre 5 Représentation des Nombres 5. Representation des entiers 5.. Principe des représentations en base b Base L entier écrit 344 correspond a 3 mille + 4 cent + dix + 4. Plus généralement a n a n...

Plus en détail

2.4 Représentation graphique, tableau de Karnaugh

2.4 Représentation graphique, tableau de Karnaugh 2 Fonctions binaires 45 2.4 Représentation graphique, tableau de Karnaugh On peut définir complètement une fonction binaire en dressant son tableau de Karnaugh, table de vérité à 2 n cases pour n variables

Plus en détail

Organisation des Ordinateurs

Organisation des Ordinateurs Organisation des Ordinateurs Bernard Boigelot E-mail : boigelot@montefiore.ulg.ac.be URL : http://www.montefiore.ulg.ac.be/~boigelot/ http://www.montefiore.ulg.ac.be/~boigelot/cours/org/ 1 Chapitre 1 Les

Plus en détail

Concevoir son microprocesseur

Concevoir son microprocesseur Concevoir son microprocesseur structure des systèmes logiques Jean-Christophe Buisson Collection Technosup Ellipses Avant-propos Ce livre s adresse aux étudiants en informatique de licence et maîtrise,

Plus en détail

BCI - TPSP - Processeurs et Architectures Numériques

BCI - TPSP - Processeurs et Architectures Numériques BCI - TPSP - Processeurs et Architectures Numériques Jean-Luc Danger Guillaume Duc Tarik Graba Philippe Matherat Yves Mathieu Lirida Naviner Alexis Polti Jean Provost c 2002-2011 groupe SEN, Télécom ParisTech

Plus en détail

Les opérations binaires

Les opérations binaires Les opérations binaires Compétences associées A2 : Analyser et interpréter une information numérique Objectifs Etre capable: - De coder les nombres entiers en code complément à 2. - De résoudre les opérations

Plus en détail

IV- Comment fonctionne un ordinateur?

IV- Comment fonctionne un ordinateur? 1 IV- Comment fonctionne un ordinateur? L ordinateur est une alliance du hardware (le matériel) et du software (les logiciels). Jusqu à présent, nous avons surtout vu l aspect «matériel», avec les interactions

Plus en détail

- Instrumentation numérique -

- Instrumentation numérique - - Instrumentation numérique - I.Présentation du signal numérique. I.1. Définition des différents types de signaux. Signal analogique: Un signal analogique a son amplitude qui varie de façon continue au

Plus en détail

TD Architecture des ordinateurs. Jean-Luc Dekeyser

TD Architecture des ordinateurs. Jean-Luc Dekeyser TD Architecture des ordinateurs Jean-Luc Dekeyser Fiche 1 Nombres de l informatique Exercice 1 Une entreprise désire réaliser la sauvegarde de ses données sur un site distant. Le volume de données à sauvegarder

Plus en détail

Conversion d un entier. Méthode par soustraction

Conversion d un entier. Méthode par soustraction Conversion entre bases Pour passer d un nombre en base b à un nombre en base 10, on utilise l écriture polynomiale décrite précédemment. Pour passer d un nombre en base 10 à un nombre en base b, on peut

Plus en détail

J AUVRAY Systèmes Electroniques TRANSMISSION DES SIGNAUX NUMERIQUES : SIGNAUX EN BANDE DE BASE

J AUVRAY Systèmes Electroniques TRANSMISSION DES SIGNAUX NUMERIQUES : SIGNAUX EN BANDE DE BASE RANSMISSION DES SIGNAUX NUMERIQUES : SIGNAUX EN BANDE DE BASE Un message numérique est une suite de nombres que l on considérera dans un premier temps comme indépendants.ils sont codés le plus souvent

Plus en détail

Arithmétique binaire. Chapitre. 5.1 Notions. 5.1.1 Bit. 5.1.2 Mot

Arithmétique binaire. Chapitre. 5.1 Notions. 5.1.1 Bit. 5.1.2 Mot Chapitre 5 Arithmétique binaire L es codes sont manipulés au quotidien sans qu on s en rende compte, et leur compréhension est quasi instinctive. Le seul fait de lire fait appel au codage alphabétique,

Plus en détail

Les techniques de multiplexage

Les techniques de multiplexage Les techniques de multiplexage 1 Le multiplexage et démultiplexage En effet, à partir du moment où plusieurs utilisateurs se partagent un seul support de transmission, il est nécessaire de définir le principe

Plus en détail

Transmission d informations sur le réseau électrique

Transmission d informations sur le réseau électrique Transmission d informations sur le réseau électrique Introduction Remarques Toutes les questions en italique devront être préparées par écrit avant la séance du TP. Les préparations seront ramassées en

Plus en détail

Conception de circuits numériques et architecture des ordinateurs

Conception de circuits numériques et architecture des ordinateurs Conception de circuits numériques et architecture des ordinateurs Frédéric Pétrot et Sébastien Viardot Année universitaire 2011-2012 Structure du cours C1 C2 C3 C4 C5 C6 C7 C8 C9 C10 C11 C12 Codage des

Plus en détail

ASR1 TD7 : Un microprocesseur RISC 16 bits

ASR1 TD7 : Un microprocesseur RISC 16 bits {Â Ö Ñ º ØÖ Ý,È ØÖ ºÄÓ Ù,Æ ÓÐ ºÎ ÝÖ Ø¹ ÖÚ ÐÐÓÒ} Ò ¹ÐÝÓÒº Ö ØØÔ»»Ô Ö Óº Ò ¹ÐÝÓÒº Ö» Ö Ñ º ØÖ Ý»¼ Ö½» ASR1 TD7 : Un microprocesseur RISC 16 bits 13, 20 et 27 novembre 2006 Présentation générale On choisit

Plus en détail

La conversion de données : Convertisseur Analogique Numérique (CAN) Convertisseur Numérique Analogique (CNA)

La conversion de données : Convertisseur Analogique Numérique (CAN) Convertisseur Numérique Analogique (CNA) La conversion de données : Convertisseur Analogique Numérique (CAN) Convertisseur Numérique Analogique (CNA) I. L'intérêt de la conversion de données, problèmes et définitions associés. I.1. Définitions:

Plus en détail

Acquisition et conditionnement de l information Les capteurs

Acquisition et conditionnement de l information Les capteurs Acquisition et conditionnement de l information Les capteurs COURS 1. Exemple d une chaîne d acquisition d une information L'acquisition de la grandeur physique est réalisée par un capteur qui traduit

Plus en détail

Informatique Générale

Informatique Générale Informatique Générale Guillaume Hutzler Laboratoire IBISC (Informatique Biologie Intégrative et Systèmes Complexes) guillaume.hutzler@ibisc.univ-evry.fr Cours Dokeos 625 http://www.ens.univ-evry.fr/modx/dokeos.html

Plus en détail

UE 503 L3 MIAGE. Initiation Réseau et Programmation Web La couche physique. A. Belaïd

UE 503 L3 MIAGE. Initiation Réseau et Programmation Web La couche physique. A. Belaïd UE 503 L3 MIAGE Initiation Réseau et Programmation Web La couche physique A. Belaïd abelaid@loria.fr http://www.loria.fr/~abelaid/ Année Universitaire 2011/2012 2 Le Modèle OSI La couche physique ou le

Plus en détail

GPA770 Microélectronique appliquée Exercices série A

GPA770 Microélectronique appliquée Exercices série A GPA770 Microélectronique appliquée Exercices série A 1. Effectuez les calculs suivants sur des nombres binaires en complément à avec une représentation de 8 bits. Est-ce qu il y a débordement en complément

Plus en détail

Cours Premier semestre

Cours Premier semestre C.Belleudy, D.Gaffé Université de Nice-Sophia Antipolis DEUG Première année SM,MP,MI UECS EEA Électronique Numérique Cours Premier semestre C. Belleudy, D.Gaffé version 3. 2 Électronique Numérique Chapitre

Plus en détail

Cours 1 : Introduction Ordinateurs - Langages de haut niveau - Application

Cours 1 : Introduction Ordinateurs - Langages de haut niveau - Application Université de Provence Licence Math-Info Première Année V. Phan Luong Algorithmique et Programmation en Python Cours 1 : Introduction Ordinateurs - Langages de haut niveau - Application 1 Ordinateur Un

Plus en détail

MPI Activité.10 : Logique binaire Portes logiques

MPI Activité.10 : Logique binaire Portes logiques MPI Activité.10 : Logique binaire Portes logiques I. Introduction De nombreux domaines font appel aux circuits logiques de commutation : non seulement l'informatique, mais aussi les technologies de l'asservissement

Plus en détail

Cours Informatique 1. Monsieur SADOUNI Salheddine

Cours Informatique 1. Monsieur SADOUNI Salheddine Cours Informatique 1 Chapitre 2 les Systèmes Informatique Monsieur SADOUNI Salheddine Un Système Informatique lesystème Informatique est composé de deux parties : -le Matériel : constitué de l unité centrale

Plus en détail

DM 1 : Montre Autoquartz ETA

DM 1 : Montre Autoquartz ETA Lycée Masséna DM 1 : Montre Autoquartz ETA 1 Présentation de la montre L essor de l électronique nomade s accompagne d un besoin accru de sources d énergies miniaturisées. Les contraintes imposées à ces

Plus en détail

TD 1 - Transmission en bande de passe

TD 1 - Transmission en bande de passe Claude Duvallet Université du Havre UFR Sciences et Techniques 25 rue Philippe Lebon - BP 540 76058 LE HAVRE CEDEX Claude.Duvallet@gmail.com Claude Duvallet 1/10 Transmission en bande de passe (1/2) Description

Plus en détail

QUESTION 1 {2 points}

QUESTION 1 {2 points} ELE4301 Systèmes logiques II Page 1 de 8 QUESTION 1 {2 points} En se servant de paramètres électriques donnés dans le Tableau 1 ci-dessous, on désire déterminer la fréquence d opération du compteur présenté

Plus en détail

MICROINFORMATIQUE NOTE D APPLICATION 1 (REV. 2011) ARITHMETIQUE EN ASSEMBLEUR ET EN C

MICROINFORMATIQUE NOTE D APPLICATION 1 (REV. 2011) ARITHMETIQUE EN ASSEMBLEUR ET EN C Haute Ecole d Ingénierie et de Gestion Du Canton du Vaud MICROINFORMATIQUE NOTE D APPLICATION 1 (REV. 2011) ARITHMETIQUE EN ASSEMBLEUR ET EN C Programmation en mode simulation 1. DOCUMENTS DE RÉFÉRENCE...

Plus en détail

Chaine de transmission

Chaine de transmission Chaine de transmission Chaine de transmission 1. analogiques à l origine 2. convertis en signaux binaires Échantillonnage + quantification + codage 3. brassage des signaux binaires Multiplexage 4. séparation

Plus en détail

Architecture des ordinateurs

Architecture des ordinateurs Architecture des ordinateurs Cours 4 5 novembre 2012 Archi 1/22 Micro-architecture Archi 2/22 Intro Comment assembler les différents circuits vus dans les cours précédents pour fabriquer un processeur?

Plus en détail

Microprocesseur + Logiciel

Microprocesseur + Logiciel Microprocesseur + Logiciel Robot EVALBOT MOHAMED AKIL BUREAU 5253 UNITE IGI 1001 PROGRAMMATION DES MICROPROCESSEURS Présentation [IGI1001] CONTEXTE SCIENTIFIQUE... 4 1. OBJECTIFS DE L UNITE... 6 2. OBJECTIFS

Plus en détail

Manipulations du laboratoire

Manipulations du laboratoire Manipulations du laboratoire 1 Matériel Les manipulations de ce laboratoire sont réalisées sur une carte électronique comprenant un compteur 4-bit asynchrone (74LS93) avec possibilité de déclenchement

Plus en détail

Architecture matérielle des systèmes informatiques

Architecture matérielle des systèmes informatiques Architecture matérielle des systèmes informatiques IDEC, Renens. Version novembre 2003. Avertissement : ce support de cours n est pas destiné à l autoformation et doit impérativement être complété par

Plus en détail

IFT2880 Organisation des ordinateurs et systèmes

IFT2880 Organisation des ordinateurs et systèmes Représentation des nombres flottants Notation exponentielle Représentations équivalentes dans la base 10 de 1,234 1 2 3, 4 0 0. 0 x 1 0-2 1 2, 3 4 0. 0 x 1 0-1 1, 2 3 4. 0 x 1 0 1 2 3. 4 x 1 0 1 2. 3 4

Plus en détail

Introduction à l architecture des ordinateurs. Adrien Lebre Décembre 2007

Introduction à l architecture des ordinateurs. Adrien Lebre Décembre 2007 Introduction à l architecture des ordinateurs Adrien Lebre Décembre 2007 Plan - partie 1 Vue d ensemble La carte mère Le processeur La mémoire principal Notion de bus Introduction à l architecture des

Plus en détail

Patentamt JEuropaisches. European Patent Office Numéro de publication: 0 1 1 0 7 6 7 Office européen des brevets DEMANDE DE BREVET EUROPEEN

Patentamt JEuropaisches. European Patent Office Numéro de publication: 0 1 1 0 7 6 7 Office européen des brevets DEMANDE DE BREVET EUROPEEN Patentamt JEuropaisches European Patent Office Numéro de publication: 0 1 1 0 7 6 7 Office européen des brevets ^ DEMANDE DE BREVET EUROPEEN Numéro de dépôt: 83402232.9 @ Int. Cl.3: G 06 F 7/52 Date de

Plus en détail

SYSTEME DE PALPAGE A TRANSMISSION RADIO ETUDE DU RECEPTEUR (MI16) DOSSIER DE PRESENTATION. Contenu du dossier :

SYSTEME DE PALPAGE A TRANSMISSION RADIO ETUDE DU RECEPTEUR (MI16) DOSSIER DE PRESENTATION. Contenu du dossier : SYSTEME DE PALPAGE A TRANSMISSION RADIO ETUDE DU RECEPTEUR (MI16) DOSSIER DE PRESENTATION Contenu du dossier : 1. PRESENTATION DU SYSTEME DE PALPAGE A TRANSMISSION RADIO....1 1.1. DESCRIPTION DU FABRICANT....1

Plus en détail

I- Définitions des signaux.

I- Définitions des signaux. 101011011100 010110101010 101110101101 100101010101 Du compact-disc, au DVD, en passant par l appareil photo numérique, le scanner, et télévision numérique, le numérique a fait une entrée progressive mais

Plus en détail

Génie Industriel et Maintenance

Génie Industriel et Maintenance Génie Industriel et Maintenance Pour qu aucun de ces systèmes ne tombe en panne. Plan de la visite 1 2 3 6 4 5 Guide visite du département Génie Industriel et Maintenance 1 Salles Informatiques Utilisation

Plus en détail

Définition 0,752 = 0,7 + 0,05 + 0,002 SYSTÈMES DE NUMÉRATION POSITIONNELS = 7 10 1 + 5 10 2 + 2 10 3

Définition 0,752 = 0,7 + 0,05 + 0,002 SYSTÈMES DE NUMÉRATION POSITIONNELS = 7 10 1 + 5 10 2 + 2 10 3 8 Systèmes de numération INTRODUCTION SYSTÈMES DE NUMÉRATION POSITIONNELS Dans un système positionnel, le nombre de symboles est fixe On représente par un symbole chaque chiffre inférieur à la base, incluant

Plus en détail

Le multiplexage. Sommaire

Le multiplexage. Sommaire Sommaire Table des matières 1- GENERALITES... 2 1-1 Introduction... 2 1-2 Multiplexage... 4 1-3 Transmission numérique... 5 2- LA NUMERATION HEXADECIMALE Base 16... 8 3- ARCHITECTURE ET PROTOCOLE DES RESEAUX...

Plus en détail

Fonctions de la couche physique

Fonctions de la couche physique La Couche physique 01010110 01010110 Couche physique Signal Médium Alain AUBERT alain.aubert@telecom-st-etienne.r 0 Fonctions de la couche physique 1 1 Services assurés par la couche physique Transmettre

Plus en détail

I. TRANSMISSION DE DONNEES

I. TRANSMISSION DE DONNEES TD I. TRANSMISSION DE DONNEES 1. QU'EST-CE QU'UN CANAL DE TRANSMISSION? 1.1 Rappels Une ligne de transmission est une liaison entre les deux machines. On désigne généralement par le terme émetteur la machine

Plus en détail

Equipement. électronique

Equipement. électronique MASTER ISIC Les générateurs de fonctions 1 1. Avant-propos C est avec l oscilloscope, le multimètre et l alimentation stabilisée, l appareil le plus répandu en laboratoire. BUT: Fournir des signau électriques

Plus en détail

Recueil d'exercices de logique séquentielle

Recueil d'exercices de logique séquentielle Recueil d'exercices de logique séquenielle Les bascules: / : Bascule JK Bascule D. Expliquez commen on peu modifier une bascule JK pour obenir une bascule D. 2/ Eude d un circui D Q Q Sorie A l aide d

Plus en détail

Représentation d un entier en base b

Représentation d un entier en base b Représentation d un entier en base b 13 octobre 2012 1 Prérequis Les bases de la programmation en langage sont supposées avoir été travaillées L écriture en base b d un entier est ainsi défini à partir

Plus en détail

Systèmes de communications numériques 2

Systèmes de communications numériques 2 Systèmes de Communications Numériques Philippe Ciuciu, Christophe Vignat Laboratoire des Signaux et Systèmes CNRS SUPÉLEC UPS SUPÉLEC, Plateau de Moulon, 91192 Gif-sur-Yvette ciuciu@lss.supelec.fr Université

Plus en détail

Architecture : Circuits numériques et éléments d architecture

Architecture : Circuits numériques et éléments d architecture Ecole Nationale Supérieure d Informatique et de Mathématiques Appliquées Architecture : Circuits numériques et éléments d architecture 1 ère année Année scolaire 2014 2015 Consignes Les exercices de ce

Plus en détail

Numérisation du signal

Numérisation du signal Chapitre 12 Sciences Physiques - BTS Numérisation du signal 1 Analogique - Numérique. 1.1 Définitions. Signal analogique : un signal analogique s a (t)est un signal continu dont la valeur varie en fonction

Plus en détail

LA MESURE INDUSTRIELLE

LA MESURE INDUSTRIELLE E02 LA MESURE INDUSTRIELLE 20 Heures Technicien responsable de la maintenance Approfondir les techniques de mesure; Prendre en compte l aspect métrologie. Connaître les limites et les facteurs d influences

Plus en détail

DU BINAIRE AU MICROPROCESSEUR - D ANGELIS CIRCUITS CONFIGURABLES NOTION DE PROGRAMMATION

DU BINAIRE AU MICROPROCESSEUR - D ANGELIS CIRCUITS CONFIGURABLES NOTION DE PROGRAMMATION 145 NOTION DE PROGRAMMATION 1/ Complétons notre microprocesseur Nous avons, dans les leçons précédentes décrit un microprocesseur théorique, cependant il s inspire du 6800, premier microprocesseur conçu

Plus en détail

REALISATION D UNE CALCULATRICE GRACE AU LOGICIEL CROCODILE CLIPS 3.

REALISATION D UNE CALCULATRICE GRACE AU LOGICIEL CROCODILE CLIPS 3. 1 sur 6 REALISATION D UNE CALCULATRICE GRACE AU LOGICIEL CROCODILE CLIPS 3. OBJECTIF - PUBLIC - LOGICIEL - MATERIEL - METHODE - BIBLIOGRAPHIE - AVANTAGES - DIFFICULTES - AUTEUR DU DOCUMENT - LE DOCUMENT

Plus en détail

CONFIGURATION DE L AUTOMATE SIEMENS

CONFIGURATION DE L AUTOMATE SIEMENS CONFIGURATION DE L AUTOMATE SIEMENS Créer un projet Dans le bureau de Windows, double-cliquer sur l icône «SIMATIC Manager» : Cliquer ensuite sur l icône «nouveau» : Choisir un nom de projet et valider

Plus en détail

Régler les paramètres de mesure en choisissant un intervalle de mesure 10µs et 200 mesures.

Régler les paramètres de mesure en choisissant un intervalle de mesure 10µs et 200 mesures. TP Conversion analogique numérique Les machines numériques qui nous entourent ne peuvent, du fait de leur structure, que gérer des objets s composés de 0 et de. Une des étapes fondamentale de l'interaction

Plus en détail

UEO11 COURS/TD 1. nombres entiers et réels codés en mémoire centrale. Caractères alphabétiques et caractères spéciaux.

UEO11 COURS/TD 1. nombres entiers et réels codés en mémoire centrale. Caractères alphabétiques et caractères spéciaux. UEO11 COURS/TD 1 Contenu du semestre Cours et TDs sont intégrés L objectif de ce cours équivalent a 6h de cours, 10h de TD et 8h de TP est le suivant : - initiation à l algorithmique - notions de bases

Plus en détail

Cours de Programmation en Langage Synchrone SIGNAL. Bernard HOUSSAIS IRISA. Équipe ESPRESSO

Cours de Programmation en Langage Synchrone SIGNAL. Bernard HOUSSAIS IRISA. Équipe ESPRESSO Cours de Programmation en Langage Synchrone SIGNAL Bernard HOUSSAIS IRISA. Équipe ESPRESSO 24 septembre 2004 TABLE DES MATIÈRES 3 Table des matières 1 Introduction 5 1.1 La Programmation Temps Réel.........................

Plus en détail

IUT BREST UN LOGICIEL SCADA : PC VUE 2010 DEP.GMP

IUT BREST UN LOGICIEL SCADA : PC VUE 2010 DEP.GMP IUT BREST DEP.GMP UN LOGICIEL SCADA : PC VUE 2010 Table des matières 1. Introduction à la supervision- logiciel SCADA... 4 1.A. Définition d un logiciel SCADA /Supervision... 4 1.B. Ou trouve-t-on des

Plus en détail

USTL - Licence ST-A 1ère année 2005-2006 Codage de l information TP 1 :

USTL - Licence ST-A 1ère année 2005-2006 Codage de l information TP 1 : USTL - Licence ST-A 1ère année 2005-2006 Codage de l information TP 1 : Objectifs du TP Ce TP a pour but 1. de découvrir quelques opérations logiques sur les nombres 2. et quelques formats de fichiers.

Plus en détail

Comme chaque ligne de cache a 1024 bits. Le nombre de lignes de cache contenu dans chaque ensemble est:

Comme chaque ligne de cache a 1024 bits. Le nombre de lignes de cache contenu dans chaque ensemble est: Travaux Pratiques 3. IFT 1002/IFT 1005. Structure Interne des Ordinateurs. Département d'informatique et de génie logiciel. Université Laval. Hiver 2012. Prof : Bui Minh Duc. Tous les exercices sont indépendants.

Plus en détail

Caractéristiques des ondes

Caractéristiques des ondes Caractéristiques des ondes Chapitre Activités 1 Ondes progressives à une dimension (p 38) A Analyse qualitative d une onde b Fin de la Début de la 1 L onde est progressive puisque la perturbation se déplace

Plus en détail

2. Couche physique (Couche 1 OSI et TCP/IP)

2. Couche physique (Couche 1 OSI et TCP/IP) 2. Couche physique (Couche 1 OSI et TCP/IP) 2.1 Introduction 2.2 Signal 2.3 Support de transmission 2.4 Adaptation du signal aux supports de transmission 2.5 Accès WAN 2.1 Introduction Introduction Rôle

Plus en détail

V- Manipulations de nombres en binaire

V- Manipulations de nombres en binaire 1 V- Manipulations de nombres en binaire L ordinateur est constitué de milliards de transistors qui travaillent comme des interrupteurs électriques, soit ouverts soit fermés. Soit la ligne est activée,

Plus en détail

2.1 Le point mémoire statique Le point mémoire statique est fondé sur le bistable, dessiné de manière différente en Figure 1.

2.1 Le point mémoire statique Le point mémoire statique est fondé sur le bistable, dessiné de manière différente en Figure 1. Mémoires RAM 1. LOGIUE STATIUE ET LOGIUE DYNAMIUE Le point mémoire est l élément de base, capable de mémoriser un bit. Il y a deux approches possibles. L approche statique est fondée sur la l'utilisation

Plus en détail

Liste des Paramètres 2FC4...-1ST 2FC4...-1PB 2FC4...-1PN 2FC4...-1SC 2FC4...-1CB

Liste des Paramètres 2FC4...-1ST 2FC4...-1PB 2FC4...-1PN 2FC4...-1SC 2FC4...-1CB Édi 07.2014 610.00260.50.650 Instrucs service d'origine Français Liste s Paramètres 2FC4...-1ST 2FC4...-1PB 2FC4...-1PN 2FC4...-1SC 2FC4...-1CB 1Liste s 1 Liste s Descrip s s 1.020 Fréquence minimale 1.021

Plus en détail

Extrait des Exploitations Pédagogiques

Extrait des Exploitations Pédagogiques Pédagogiques Module : Compétitivité et créativité CI Première : Compétitivité et créativité CI institutionnel : Développement durable et compétitivité des produits Support : Robot - O : Caractériser les

Plus en détail

Télécommunications. Plan

Télécommunications. Plan Télécommunications A.Maizate - EHTP 2010/2011 Plan Concepts généraux: Téléinformatique Liaison de Téléinformatique Sens de transmission Types de transmission Parallèle Série Techniques de Transmission

Plus en détail

Introduction à l informatique temps réel Pierre-Yves Duval (cppm)

Introduction à l informatique temps réel Pierre-Yves Duval (cppm) Introduction à l informatique temps réel Pierre-Yves Duval (cppm) Ecole d informatique temps réel - La Londes les Maures 7-11 Octobre 2002 -Définition et problématique - Illustration par des exemples -Automatisme:

Plus en détail

Etudier l influence de différents paramètres sur un phénomène physique Communiquer et argumenter en utilisant un vocabulaire scientifique adapté

Etudier l influence de différents paramètres sur un phénomène physique Communiquer et argumenter en utilisant un vocabulaire scientifique adapté Compétences travaillées : Mettre en œuvre un protocole expérimental Etudier l influence de différents paramètres sur un phénomène physique Communiquer et argumenter en utilisant un vocabulaire scientifique

Plus en détail

Eléments de spécification des systèmes temps réel Pierre-Yves Duval (cppm)

Eléments de spécification des systèmes temps réel Pierre-Yves Duval (cppm) Eléments de spécification des systèmes temps réel Pierre-Yves Duval (cppm) Ecole d informatique temps réel - La Londes les Maures 7-11 Octobre 2002 - Evénements et architectures - Spécifications de performances

Plus en détail

CORRIGE LES NOMBRES DECIMAUX RELATIFS. «Réfléchir avant d agir!»

CORRIGE LES NOMBRES DECIMAUX RELATIFS. «Réfléchir avant d agir!» Corrigé Cours de Mr JULES v3.3 Classe de Quatrième Contrat 1 Page 1 sur 13 CORRIGE LES NOMBRES DECIMAUX RELATIFS. «Réfléchir avant d agir!» «Correction en rouge et italique.» I. Les nombres décimaux relatifs.

Plus en détail

Electronique Numérique

Electronique Numérique Electronique Numérique 1er tome Systèmes combinatoires Etienne Messerli Yves Meyer Septembre 2010 Version 1.4 Mise à jour de ce manuel La base du présent manuel a été écrit par M. Yves Meyer de l'école

Plus en détail

TP_Codeur_Incrémental

TP_Codeur_Incrémental Lycée Lislet Geoffroy BTS MAI TP2 - Codeur incrémental Cod-2 Etre capable de : Décrire l allure des signaux délivrés par un codeur incrémental. Enoncer les caractéristiques principales d un codeur incrémental.

Plus en détail

ISO/CEI 11172-3 NORME INTERNATIONALE

ISO/CEI 11172-3 NORME INTERNATIONALE NORME INTERNATIONALE ISO/CEI 11172-3 Première édition 1993-08-01 Technologies de l information - Codage de l image animée et du son associé pour les supports de stockage numérique jusqu à environ Ii5 Mbit/s

Plus en détail

Hiérarchie matériel dans le monde informatique. Architecture d ordinateur : introduction. Hiérarchie matériel dans le monde informatique

Hiérarchie matériel dans le monde informatique. Architecture d ordinateur : introduction. Hiérarchie matériel dans le monde informatique Architecture d ordinateur : introduction Dimitri Galayko Introduction à l informatique, cours 1 partie 2 Septembre 2014 Association d interrupteurs: fonctions arithmétiques élémentaires Elément «NON» Elément

Plus en détail

MICROCONTROLEURS PIC PROGRAMMATION EN C. V. Chollet - cours-pic-13b - 09/12/2012 Page 1 sur 44

MICROCONTROLEURS PIC PROGRAMMATION EN C. V. Chollet - cours-pic-13b - 09/12/2012 Page 1 sur 44 MICROCONTROLEURS PIC PROGRAMMATION EN C V. Chollet - cours-pic-13b - 09/12/2012 Page 1 sur 44 Chapitre 1 GENERALITES 1 DEFINITION Un microcontrôleur est un microprocesseur RISC (Reduced Instruction Set

Plus en détail

3 ÉLÉMENTS forment un LABoratoire.

3 ÉLÉMENTS forment un LABoratoire. 3 ÉLÉMENTS forment un LABoratoire. L environnement d apprentissage COM3LAB combine l expérimentation et les avantages du e-learning interactif. La nouvelle unité centrale (console) est la jonction entre

Plus en détail

Info0101 Intro. à l'algorithmique et à la programmation. Cours 3. Le langage Java

Info0101 Intro. à l'algorithmique et à la programmation. Cours 3. Le langage Java Info0101 Intro. à l'algorithmique et à la programmation Cours 3 Le langage Java Pierre Delisle, Cyril Rabat et Christophe Jaillet Université de Reims Champagne-Ardenne Département de Mathématiques et Informatique

Plus en détail

Livret - 1. Informatique : le matériel. --- Ordinateur, circuits, codage, système, réseau. Cours informatique programmation.

Livret - 1. Informatique : le matériel. --- Ordinateur, circuits, codage, système, réseau. Cours informatique programmation. Livret - 1 Informatique : le matériel --- Ordinateur, circuits, codage, système, réseau. RM di scala Cours informatique programmation Rm di Scala - http://www.discala.net SOMMAIRE Introduction 2 Notations

Plus en détail

1 Presentation du bandeau. 2 Principe de création d un projet : C2 industrialisation Apprendre Gantt project Ver 2.6 planifier

1 Presentation du bandeau. 2 Principe de création d un projet : C2 industrialisation Apprendre Gantt project Ver 2.6 planifier 1 Presentation du bandeau Créer une tâche Supprimer une tâche Affiche les propriétés d une tâche Onglet Gantt ou Ressources Calendrier Liste des tâches (ID ; Nom ; Date début et Date de Fin) 2 Principe

Plus en détail

Éléments d'architecture des ordinateurs

Éléments d'architecture des ordinateurs Chapitre 1 Éléments d'architecture des ordinateurs Machines take me by surprise with great frequency. Alan Turing 1.1 Le Hardware Avant d'attaquer la programmation, il est bon d'avoir quelques connaissances

Plus en détail

CONFIGURATION ET UTILISATION

CONFIGURATION ET UTILISATION COMPARATEUR DE CODE GRAY POUR CODEURS A SORTIES PARALLELES CONFIGURATION ET UTILISATION CCN165 LOREME 12, rue des Potiers d'etain Actipole BORNY - B.P. 35014-57071 METZ CEDEX 3 Téléphone 03.87.76.32.51

Plus en détail

Transmissions série et parallèle

Transmissions série et parallèle 1. Introduction : Un signal numérique transmet généralement plusieurs digits binaires. Exemple : 01000001 ( huit bits). Dans une transmission numérique on peut envisager deux modes : les envoyer tous en

Plus en détail

Partie 1. Professeur : Haouati Abdelali. CPGE Lycée Omar Ibn Lkhattab - Meknès www.haouati.com haouaticpge@gmail.com

Partie 1. Professeur : Haouati Abdelali. CPGE Lycée Omar Ibn Lkhattab - Meknès www.haouati.com haouaticpge@gmail.com Partie 1 Professeur : Haouati Abdelali CPGE Lycée Omar Ibn Lkhattab - Meknès www.haouati.com haouaticpge@gmail.com Partie I : Généralités et algorithmique de base 1. Environnement matériel et logiciel

Plus en détail