TP3- Port série, Liaison RS232

Documents pareils
Transmissions série et parallèle

QUESTION 1 {2 points}

Comme chaque ligne de cache a 1024 bits. Le nombre de lignes de cache contenu dans chaque ensemble est:

Manipulations du laboratoire

USTL - Licence ST-A 1ère année Codage de l information TP 1 :

Master d'informatique 1ère année Réseaux et protocoles. Couche physique

Création du projet : 1 sur 13

COMMANDER la puissance par MODULATION COMMUNIQUER

ANALYSE TRAMEs LIAISON SERIE

REALISATION d'un. ORDONNANCEUR à ECHEANCES

Aide à l utilisation

ALERT NOTICE D UTILISATION DU DRIVER SIA

Assembleur. Faculté I&C, André Maurer, Claude Petitpierre

FONCTION COMPTAGE BINAIRE ET DIVISION DE FRÉQUENCE

PIC EVAL Dev Board PIC18F97J60

GPA770 Microélectronique appliquée Exercices série A

ENVOI EN NOMBRE DE MESSAGES AUDIO

Documentation Technique du programme HYDRONDE_LN

EPREUVE OPTIONNELLE d INFORMATIQUE CORRIGE

PILOT-FI NOUVEAU. Interface de terrain Pilot-FI Manuel de l utilisateur

IV- Comment fonctionne un ordinateur?

Travail d évaluation personnelle UV valeur C : IRE. Planification de réseaux : Simulateur IT-GURU Academic Edition

COMMANDER A DISTANCE LE ROBOT-PONG ETUDE DE LA TELECOMMANDE (2 nde PARTIE)

ALERT NOTICE D UTILISATION DU DRIVER VOCALYS. (Cesa 200 bauds / Contact-ID)

Tout savoir sur le matériel informatique

Notice d Utilisation du logiciel Finite Element Method Magnetics version 3.4 auteur: David Meeker

SYSTEME DE PALPAGE A TRANSMISSION RADIO ETUDE DU RECEPTEUR (MI16) DOSSIER DE PRESENTATION. Contenu du dossier :

Université de La Rochelle. Réseaux TD n 6

Cours Informatique 1. Monsieur SADOUNI Salheddine

SIN-FPGA DESCRIPTION PAR SCHEMA

DOCUMENTATION VISUALISATION UNIT

Modules d automatismes simples

Proteus Design Suite V7 Instruments virtuels

CONFIGURATION ET UTILISATION

212 Erreur accès anti- Echo. 214 Erreur démarrage vérif DSP. 215 Erreur accès trame IC. 216 Erreur DSP carte MSG. 217 Erreur données Carte MSG

Systèmes de transmission

Matériel & Logiciels (Hardware & Software)

Elle supporte entièrement la gestion de réseau sans fil sous Windows 98SE/ME/2000/XP.

TABLE DES MATIÈRES 1. DÉMARRER ISIS 2 2. SAISIE D UN SCHÉMA 3 & ' " ( ) '*+ ", ##) # " -. /0 " 1 2 " 3. SIMULATION 7 " - 4.

ProCod. Manuel d utilisation. Software de programmation pour codeurs absolus TWK modèles CRF et DAF CRF DF 08 / 10

DIGIProtect est une solution de radiocommunication numérique offrant des services de sécurité avancés tels que : La Protection du Travailleur Isolé

Leçon 1 : Les principaux composants d un ordinateur

SAGEM Wi-Fi 11g USB ADAPTER Guide de mise en route rapide

Ordinateurs, Structure et Applications

Documentation utilisateur. [EIP] TransLSF

AP1.1 : Montages électroniques élémentaires. Électricité et électronique

2 Raccordement d une imprimante, d un terminal ou d un ordinateur au DULCOMARIN

PocketNet SNMP/Modbus

Les 18 icônes du poste de pilotage (Salle Tecnilab)

CA 3000 CA 3000 PROGRAMMATION PAR MINITEL

Partie Agir : Défis du XXI ème siècle CHAP 20-ACT EXP Convertisseur Analogique Numérique (CAN)

PROfiler. Système de profilage de température. Guide de démarrage rapide V1.0. Système de profilage de température PROfiler DEMARRAGE RAPIDE

Guide Mémoire NETRAM

Programmation d un contrôleur via une liaison téléphonique Note d application

Connexion sur REDLION G-308 avec le modem GSM GDW-11 pour envoi de SMS

CLIP. (Calling Line Identification Presentation) Appareil autonome affichant le numéro appelant

/ / / Système de distribution audio A44/A88 Logiciel PC

Ecran programmable. Testez la différence grâce. à sa puissance, sa rapidité. et ses possibilités réseaux

La gestion intelligente de vos bâtiments :

SoMachine. Solution logicielle pour votre architecture Machine Atelier de découverte. SoMachine

Conception Systèmes numériques VHDL et synthèse automatique des circuits

Programmation sous QT

TS 35 Numériser. Activité introductive - Exercice et démarche expérimentale en fin d activité Notions et contenus du programme de Terminale S

1 DHCP sur Windows 2008 Server Introduction Installation du composant DHCP Autorisation d'un serveur DHCP...

IUT BREST UN LOGICIEL SCADA : PC VUE 2010 DEP.GMP

Base de l'informatique. Généralité et Architecture Le système d'exploitation Les logiciels Le réseau et l'extérieur (WEB)

La conversion de données : Convertisseur Analogique Numérique (CAN) Convertisseur Numérique Analogique (CNA)

Conception Systèmes numériques VHDL et synthèse automatique des circuits

Réaliser un accès distant sur un enregistreur DVR

SYSTEME DE GESTION DES ENERGIES EWTS EMBEDDED WIRELESS TELEMETRY SYSTEM

Système Electronique pour le Monitoring des Chaînes PV

Guide d'utilisation EasyMP Monitor Ver.4.52

Architecture des ordinateurs TD1 - Portes logiques et premiers circuits

Manuel d'utilisation. OctoBUS 64

Structure et fonctionnement d'un ordinateur : hardware

Comptabilité - USR. Logiciel : Comptabilité USR - Version 2,16 Documentation réalisée par JJ Gorge Trésorier Tir à l'arc le 04/04/ / 15

1 Démarrer L écran Isis La boite à outils Mode principal Mode gadget Mode graphique...

Architecture des ordinateurs Introduction à l informatique

Le langage VHDL. Eduardo Sanchez EPFL

Système M-Bus NIEVEAU TERRAIN NIVEAU AUTOMATION NIVEAU GESTION. Domaines d'application

KL5121. Pour activer des sorties en fonction de la position d'un codeur

MANUEL D'UTILISATION GENERAL

Enregistreur sans papier. Interface LON. B Description des interfaces 10.99/

Mentions légales (non traduites) Introduction Légendes Schémas de raccordement Configuration de la S16...

TD Architecture des ordinateurs. Jean-Luc Dekeyser

NanoSense. Protocole Modbus de la sonde Particules P4000. (Version 01F)

Platine Terminal AXEL AX3000 modèles asynchrones

Conception de circuits numériques et architecture des ordinateurs

Configurateur TX100B tébis KNX avec prise USB

Sélection du contrôleur

Mini_guide_Isis_v6.doc le 10/02/2005 Page 1/15

Travaux pratiques. Compression en codage de Huffman Organisation d un projet de programmation

Caractéristiques principales : 1. Prise en charge des réseaux GSM et PSTN 2. Quadri-bande : 850/900/1 800/1 900 MHz 3. Enregistrement vocal sur 2

Master d'informatique 1ère année. Réseaux et protocoles. Architecture : les bases

Document de formation pour une solution complète d automatisation Totally Integrated Automation (T I A) MODULE A5 Programmation de la CPU 314C-2DP

Étape 3 : Afin d'approfondir vos connaissances sur le bit d'archivage, vous apprendrez l'effet d'une modification d'un fichier sur celui-ci.

Mini_guide_Isis.pdf le 23/09/2001 Page 1/14

Cours 1 : Introduction Ordinateurs - Langages de haut niveau - Application

Transcription:

Filière ELT 2 ème année, 2015-2016 TP3- Port série, Liaison RS232 Dans ce TP nous utiliserons le port sériel pour envoyer et recevoir les données vers un PC. Le port série des PC utilise la norme RS232 pour envoyer et recevoir les octets sérialisés. Ci-dessous, est présenté le schéma du timing de la liaison RS232, pour le cas de 8 bits de donnée, pas de parité et 1 bit de stop: Figure 1 : Le timing de la liaison RS232 Le baud rate, d après la norme peut être 300, 600, 1200, 2400, 4800, 9600 ou 19200 bits/sec. Dans ce TP on utilisera la vitesse 19200. Nous essayons de générer des caractères et de les envoyer vers le PC. Le PC les affichera sur l'écran. Le but final est d'envoyer une phrase à chaque fois que l'on appuie sur un des boutons de la carte. Dans le travail 1, on essaye d'envoyer juste un seul caractère, on le finalisera en travail 2. Travail 3 réalisera la réception sur la carte quand c'est le PC qui envoie des caractères. Travail 1 : Emission d'un caractère A chaque appuie du bouton BTN0 de la carte, un caractère est envoyé vers le PC. Le travail est scindé en deux: réalisation d'un component qui sérialise l'envoie d'une donnée de 8 bits sur le port série, et le programme principal qui, à chaque appuie du bouton, fournit un caractère à ce component. Le component dont vous devez écrire le programme et qui s occupe de l émission d un octet est présenté sur la Figure 2. 1

Figure 2 - Component qui respecte la norme RS232 et qui envoie une donnée 8 bits au PC Le circuit principal qui utilisera ce component (et qui fournit donc la donnée pour être sérialisée et puis envoyée) doit respecter le timing de la Figure 3: 1/19200 Figure 3- Timing de l'interface du port série On commence par la conception du component qu'on appellera l'interface du port série. Le rôle de l interface est en fait de recevoir un octet (sur le bus DATA de taille 8), d y ajouter les bits de start et de stop, et de les sérialiser suivant la norme RS232. L utilisateur de votre component (le programme principal) doit lui fournir le signal EN_19200 en permanence. Il (le programme principal) vérifie le signal BUSY et si ce signal est à zéro, il met sa donnée sur les lignes DATA et puis envoie une impulsion sur le signal STRB. Votre component doit sauvegarder cette donnée quand il détecte (sur le front de l'horloge) un niveau 1 sur STRB. Comment s'y prendre: On se concentre sur le timing du signal que nous devons générer et on essaye d'identifier l'enchainement des évènements (voir Figure 4). Figure 4- Identification du pointeur 2

On identifie deux états: "idle" et "envoie". Dans l'état "idle", on envoie sur TX la valeur logique '1'. Dès la réception d'un STRB, on sauvegarde la donnée et on passe à l'état "envoi". Dans cet état, on autorise le pointeur de s'incrémenter au rythme de "EN_19200". Pour simplifier les choses, on imagine un registre qui contient non seulement la donnée mais aussi les bits de start et de stop. On n'a qu'à faire sortir sur TX le bit pointé par "pointeur". Figure 5- la machine d'états comportant deux état "idle" et "envoi" Figure 6- le registre dont les bits seront envoyés sur TX Le "pointeur" est à incrémenter à chaque "EN_19200". Il est remis à zéro de manière "asynchrone" tant que nous sommes dans l'état "idle". BUSY reste à '0' dans l'état "idle" mais passe à '1' tant que nous sommes dans l'état "envoi". Figure 7- Schéma global du component 3

Une fois le programme décrivant ce component est fait, vérifiez son fonctionnement en simulation. Le programme de test ci-dessous peut être utilisé : process -- clk 100 MHz begin MCLK<= '0'; wait for 5 ns; MCLK <= '1'; wait for 5 ns; end process; process -- création du signal enable à 19200 Hz (la fréquence n est pas -- 19200 pour diminuer le temps de simulation) Begin EN_19200 <= 0 after 2 ns; Wait for 100 ns; EN_19200 <= '1' after 2 ns; wait for 10 ns; end process; DATA <= "11001010"; process begin STRB <= '0'; wait for 120 ns; STRB <= '1' after 2 ns; wait for 10 ns; STRB <= '0'; wait; end process; Si la simulation est satisfaisante, vous pouvez tester votre circuit. Il faudra utiliser ce component dans un circuit principal où un signal «enable» de 19200 HZ est généré. Ensuite, connecter le signal STRB à une des boutons de la carte. Lancer le programme «hyper terminal» du PC et connecter le port série du PC à la carte. Si tout va bien, vous devrez voir un caractère affiché sur l écran à chaque appuie du bouton. Est-ce que plusieurs caractères s affichent à chaque appuie? si oui pourquoi? Travail 2 : Affichage d une phrase Créer une mémoire ROM qui contient les codes ascii de la phrase «Je suis le meilleur!». A la fin de la phrase, ajouter les valeurs 10, 13 et 0, qui placeront le curseur au début de la ligne suivante et que le zéro marquera la fin de la phrase. Cette mémoire peut être crée comme suit : type T_memo is array (0 to 23) of std_logic_vector(7 downto 0); constant rom_memo : T_memo := (x"4a",x"65",x"20",x"73",x"75",x"69", x"73",x"20",...,x"0a",x"0d",x"00"); 4

Le but est de fabriquer une machine d'états pour afficher, à chaque appuie sur le BTN0 de la carte, cette phrase sur l écran PC. Le circuit de la Figure 8 présente le circuit total. Figure 8- Le circuit affichant une phrase à l écran PC L algorithme à utiliser dans la machine d'états de la figure 8 consiste à - Initialement dans l'état fin, attendre que le signal RESET arrive. A ce moment-là et si l'interface est libre on procède à envoyer les caractères un par un. On commence par créer une impulsion sur strb. - Le component qui reçoit ce strb, commence à envoyer le premier caractère. Il mettra busy à 1 - Analyser minutieusement le digramme d'état de la Figure 9. Figure 9- le diagramme de flux pour la machine à états de la Figure 8. Ecrire le programme entier en ajoutant le component et tester son fonctionnement sur la carte. 5

Travail 3 : Réception Nous allons faire afficher les codes ASCII envoyés par le PC suivant la norme RS232 sur les 7 segments. Construire un nouveau projet. Aller chercher dans le site web de TP et récupérer les deux programmes VHDL dont un affiche une donnée 16 bits (4 digits hexadécimaux) sur les afficheurs et l autre qui reçoit du port série d un PC le code ascii de la touche enfoncée. Relier ces components pour réaliser le circuit suivant : Figure 10- Circuit du récepteur du port série En ouvrant l application «hyper terminal» on doit visualiser le code ascii de la touche du clavier sur les 7-segment de la carte FPGA. 6