Quadrivision numérique : Fonction FS3.3 Système : Quadrivision numérique Durée : 3 heures Travail en binôme

Documents pareils
Manipulations du laboratoire

SIN-FPGA DESCRIPTION PAR SCHEMA

CONFIGURATION DE L AUTOMATE SIEMENS

Introduction à Eclipse

Conception Systèmes numériques VHDL et synthèse automatique des circuits

Conception Systèmes numériques VHDL et synthèse automatique des circuits

Le langage VHDL. Eduardo Sanchez EPFL

Guide d'installation rapide TFM-560X YO.13

Manuel BlueFolder ADMINISTRATION

La Clé informatique. Formation Internet Explorer Aide-mémoire

ENDNOTE X2 SOMMAIRE. 1. La bibliothèque EndNote 1.1. Créer une nouvelle bibliothèque 1.2. Ouvrir une bibliothèque EndNote 1.3. Fermer une bibliothèque

GUIDE UTILISATEUR SYSTEMES CCTV

Récupérer les documents stockés sur l ENTG

PROCÉDURE D'INSTALLATION WINDOWS 7 (32 ou 64 bit)

Installation et compilation de gnurbs sous Windows

ÉCOLE POLYTECHNIQUE DE MONTRÉAL. Département de Génie Électrique. La technologie de TEXAS INSTRUMENTS DSP pour le cours Analyse des Signaux ELE2700

CSI351 Systèmes d exploitation Instructions pour rouler Linux avec Virtual PC dans la salle de labo 2052

INSTRUCTIONS D INSTALLATION SOUS WINDOWS 7 / WINDOWS VISTA / WINDOWS XP

Utilisation de l outil lié à MBKSTR 9

T. BLOTIN Lycée Paul-Eluard SAINT-DENIS

Comment sauvegarder ses documents

Système de vidéosurveillance pour Pocket PC

Installation en réseau de ClicMenu et des logiciels de Kitinstit

Notice ARES Version 5.20 Française

sommaire Archives... Archiver votre messagerie... Les notes... Les règles de messagerie... Les calendriers partagés Les listes de diffusions...

Micro-ordinateurs, informations, idées, trucs et astuces utiliser le Bureau à distance

Suivant les windows, le signal pour indiquer l imprimante par défaut est un petit rond noir ou vers avec un V à l intérieur.

VAMT 2.0. Activation de Windows 7 en collège

Manuel utilisateur (Manuel_utilisateur_version pdf) Manuel Reprise des données (Manuel_Reprise_donnees_version

Espace pro. Installation des composants avec Firefox. Pour. Windows XP Vista en 32 et 64 bits Windows 7 en 32 et 64 bits

Utilisation de GCM (Google Cloud Messaging) pour Android. Partie préliminaire éventuelle : Création d'un AVD lisant GCM

Créer le schéma relationnel d une base de données ACCESS

Installer Enterprise Miner 5.1 en SAS environnement Windows

- Visioconférence - Utiliser NetMeeting au quotidien. Richard BONMARIN DSO/DSI/EMC-EBZ

1 ) INSTALLATION DE LA CONSOLE 2 2 ) PREMIER DÉMARRAGE DE LA CONSOLE 3 3 ) LES JOBS 4 4 ) LES ORDINATEURS 6

Gestion des certificats en Internet Explorer

MANUEL D UTILISATION - Précis Poste de Traitement d Images 1 - Déconvolution

Warren PAULUS. Android SDK et Android x86

AVEC LIVE TRADER, VISEZ PLUS HAUT POUR VOS INVESTISSEMENTS

Contrôleur de communications réseau. Guide de configuration rapide DN

Universal Robots. Fiche Méthode : Installation du simulateur Polyscope

Installation et configuration du logiciel BauBit

WinTask x64 Le Planificateur de tâches sous Windows 7 64 bits, Windows 8/ bits, Windows 2008 R2 et Windows bits

WebSpy Analyzer Giga 2.1 Guide de démarrage

lundi 3 août 2009 Choose your language What is Document Connection for Mac? Communautés Numériques L informatique à la portée du Grand Public

Exporter des écritures. Importer des écritures. Depuis EBP Comptabilité.

Logiciel de Télégestion

Un outil open source de gestion de bibliographies

Direction des Systèmes d'information

QUICK START RF Monitor 4.3 CFR21

Notice d'utilisation Site Internet administrable à distance

TrueCrypt : installation et paramétrage

MANUEL D INSTALLATION DES PRE REQUIS TECHNIQUES SALLE DES MARCHES V.7

PRECAUTIONS DESCRIPTION DU PRODUIT

Tutoriel Création d une source Cydia et compilation des packages sous Linux

DÉMATÉRIALISATION DES MARCHÉS

Tutoriel de formation SurveyMonkey

Notice de fonctionnement DVR H Méthode de Visionnage ESEENET

PRISE EN MAIN D UN TABLEUR. Version OPEN OFFICE

Once the installation is complete, you can delete the temporary Zip files..

Notice d Utilisation du logiciel Finite Element Method Magnetics version 3.4 auteur: David Meeker

Clé USB Wi-Fi TP-Link TL-WN721N (150 Mbps) Manuel d installation

Insérer des images dans Base

TP1 : Initiation à Java et Eclipse

Tapez le titre de la page «BASTIA ville méditerranéenne», puis allez deux fois à la ligne à l aide de la touche Entrée.

Guide d installation logicielle

EPREUVE OPTIONNELLE d INFORMATIQUE CORRIGE

ET 24 : Modèle de comportement d un système Introduction à Labview et initiation à la réalisation d un Instrument Virtuel (VI).

Le langage C. Séance n 4

1.1 L EXPLORATEUR WINDOWS

Installation et utilisation de Cobian Backup 8

Access et Org.Base : mêmes objectifs? Description du thème : Création de grilles d écran pour une école de conduite.

NOTICE TELESERVICES : Demander un état hypothécaire

Archivage de courriels avec Outlook ( )

Comment faire des étiquettes

ESPACE COLLABORATIF SHAREPOINT

Installation de SCCM 2012 (v2)

1. Utilisation du logiciel Keepass

MANUEL D UTILISATION TERMINAL PHL 2700

MEGA ITSM Accelerator. Guide de démarrage

Simple, facile à archiver, il est disponible dès le premier jour du mois * et n utilise ni papier ni enveloppe. Le e-relevé / Mode d emploi

AGASC / BUREAU INFORMATION JEUNESSE Saint Laurent du Var - E mail : bij@agasc.fr / Tel : CONSIGNE N 1 :

DECOUVERTE DU LOGICIEL CIEL GESTION COMMERCIALE

Documentation Technique du programme HYDRONDE_LN

Saisissez le login et le mot de passe (attention aux minuscules et majuscules) qui vous ont

Table des Matières. Présentation Installation... 2 Mise en route Les Icones... 5 Les paramètres

Gestion des références bibliographiques. Comment simplifier la gestion des références bibliographiques?

Guide de l enseignant. pour le Passeport Sécurité. Mise à jour : 10 août 2015

ENVOI EN NOMBRE DE MESSAGES AUDIO

NetBak Replicator 4.0 Manuel de l utilisateur Version 1.0

MUNIA Manuel de l'utilisateur

REALISATION D UNE CALCULATRICE GRACE AU LOGICIEL CROCODILE CLIPS 3.

TP Contraintes - Triggers

Note Technique. 1. Objectif. 2. Prérequis. 3. Installation

Le cas «BOURSE» annexe

MANUEL D INSTALLATION LOGICIEL TELEVITALE. Agréé SESAM VITALE 1.40

domovea Portier tebis

Installation d un ordinateur avec reprise des données

Déploiement de SAS Foundation

Transcription:

QN_FS33 Quadrivision numérique : Fonction FS3.3 Système : Quadrivision numérique Durée : 3 heures Travail en binôme QN_FS33.doc/G.COLIN Centre d intérêt : les circuits logiques programmables. Outils : Outil de développement Quartus - PC avec serveur de fichiers. Documentation : Dossier technique du système. Savoirs : Utiliser un outil de développement de PLD Adapter un logiciel en langage VHDL Effectuer des tests sur une maquette. On dispose de la documentation technique du système La fonction FS3.3 est décrite dans le dossier technique. Problème posé : La fonction FS3.3 est réalisée à l aide d un PLD de référence EP610. Pour des raisons d approvisionnement (obsolescence du circuit EP610), on décide de le remplacer par un MAX7032S (ou EPM7032S). La fonction FS3.3 est décrite dans le paragraphe 4.1 du dossier technique. Matériel : Les essais se font sur une maquette comportant une caméra N&B, un oscillateur à 27MHz, un séparateur de synchro et un PLD EPM7032S. Le schéma structurel est donné en annexe. Le circuit EPM7032S possède une interface JTAG, qui présente l avantage de pouvoir programmer le composant in situ. Une interface BYTEBLASTER relié au port parallèle du PC permet la programmation du PLD. Tests : Les tests se font à l aide d une sonde en bon état sur les supports tulipes placés autour du PLD et au point test référencé VIDEO. Les numéros placés à côté des supports tulipes correspondent aux pattes du circuit EPM7032S. 1 Comparaison des 2 circuits programmables À l aide des documentations des circuits, tracer un tableau comme ci dessous. Caractéristiques EP610 EPM7032S nombre de macro cellules nombre d entrée/sortie bascule avec reset asynchrone Oui ou non bascule avec set asynchrone 2 Réalisation d un compteur 4 bits Lancer le logiciel Quartus Remarques : le logiciel Quartus permet de décrire des fonctions numériques soit de façon graphique (portes logiques, bascules, etc ) ou soit de façon textuelle. L objectif étant de se familiariser avec le langage VHDL, seule cette description est utilisée dans le TP. Créer un nouveau projet : Create à New Projet (ou File / New Project Wizard) Page 1/5 : o Créer un répertoire sur le réseau : h:\travail\vhdl\fs33 (1 ère ligne à renseigner) o Donner un nom au projet : fs33 (2 ème ligne à renseigner) o Donner un nom au «top level design» : fs33 (3 ème ligne à renseigner) o Next Page 2/5 o Next (on n ajoute pas de fichiers au projet) Page 3/5 o Sélectionner le composant EPM7032SLC44-10 de la famille MAX7000S o Next Page 4/5 o Next (None sur les 3 lignes) Page 5/5 o Finish Éditer un nouveau fichier : File New VHDL File OK Éditer le fichier suivant :

LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_unsigned.all; entity fs33 is port (clk: in std_logic; q : buffer std_logic_vector(3 downto 0)); end fs33; architecture arch of fs33 is begin process (clk) begin if (clk'event and clk='1') then q <= q + 1; end if; end process; end arch; Enregistrer le fichier sous le nom fs33.vhd (extension vhd obligatoire) dans le répertoire de travail : File Save As La case «Add file to current project» doit être cochée. Compiler le programme : Processing Compiler Tool Start Corriger les erreurs éventuelles Fermer la fenêtre. Déclarer les pattes du composant : Assignments Pins Cliquer sur clk (colonne Node Name du tableau) et en maintenant la touche gauche de la souris, placer clk sur la patte 43 du dessin du PLD et relâcher la touche de la souris. Recommencer pour les autres pattes q0(pin 6), q1(pin 8), q2(pin 9) et q3 (pin 11). Editer les stimuli : File New Vector Waveform File OK Clic droit dans la colonne Name (ou Edit / Insert / Inser Node ou Bus) Insert Inser Node ou Bus node Node Finder Filter : Pins : All List Placer clk et q dans la colonne Selected Nodes ( à l aide de > ) OK Choisir «Radix» en binaire OK Cliquer sur l icône pour détacher et agrandir la fenêtre Sélectionner la ligne clk et cliquer sur l icône Dans la rubrique Timing, entrer la valeur 18.5ns correspondant à une demi période de clk à 27Mhz dans la rubrique «Count every». OK Sauvegarder le fichier : Save As : fs33.vwf Lancer la simulation Processing Simulator Toll Simulation mode : Timing (pour prendre en compte les temps de commutation des circuits) Cocher la case Overwrite simulation Start Activer la fenêtre fs33.vwf (open la première fois) et répondre oui à la question «do you want to reload the file» Vérifier les chronogrammes obtenus. (q peut être afficher en hexa clic droit et propriétés). Pour modifier le temps de simulation : Edit End time Compiler de nouveau le projet pour que l affectation des pattes soit prise en compte (important pour la programmation du composant, mais non utile pour la simulation) Programmer le composant : Alimenter la maquette Mettre le module BYTEBLASTER sur le port parallèle Relier la maquette au module Attention au branchement Appeler le professeur en cas de doute. Tools Programmer choisir ByteBlasterMV dans le menu HardwareSetup (Add si nécessaire) Cocher les cases Program / Configure ; Verify ; Start Relever à l oscilloscope les chronogrammes sur les pattes 43, 6, 8, 9, 11 en précisant les périodes et fréquences obtenues (ne pas imprimer les relevés). Comparer la période de q3 obtenue en simulation et en pratique.

3 Réalisation d un compteur 12 bits Modifier le fichier source pour obtenir un compteur 12 bits : q : buffer std_logic_vector(11 downto 0)); Compiler Affecter les nouvelles sorties aux numéros de patte, dans l ordre croissant des pattes disponibles ( q4 pin12, q5 pin14, etc ) Réaliser une simulation sur 64µs : Sous la fenêtre fs33.vwf, modifier le temps avec Edit \ End Time Lancer une simulation Simulator Tool \ Start Indiquer la valeur du compteur au bout de 64µs Justifier cette valeur en prenant en compte la fréquence de l'horloge CLK. Donner et justifier la valeur de la période du signal q(10). Programmer (après une nouvelle compilation pour que l affectation des pattes soit pris en compte) le composant et vérifier à l'oscilloscope la période du signal sur q(10) (ne pas imprimer les relevés). 4 Synchronisation horizontale L'oscilloscope est utilisé avec un déclenchement TV horizontal Visualiser simultanément à l'oscilloscope le signal vidéo (point test VIDEO) et le signal de synchronisation composite (SYNCOMP: patte 4 de l'epm7032) en sortie du séparateur synchro (LM1881). Imprimer les signaux. Mesurer le temps entre 2 tops de synchro ligne (correspondant à la durée d'une ligne vidéo). Mesurer la durée au niveau bas du top de synchro ligne en sortie du séparateur synchro (signal SYNCOMP). 5 Reset compteur. Conformément aux chronogrammes donnés dans le dossier technique: Modifier la description VHDL précédente pour que le signal SYNCOMP force à 0 les sorties du compteur (de façon asynchrone) sur un niveau bas : Nom du PORT à déclarer : syncomp ; Patte 4 (voir schéma). Nouvelle description de q : if syncomp='0' then q<=x"000"; elsif (clk'event and clk='1') then q <= q + 1; Régler une simulation sur 128µs Editer le stimuli de syncomp pour qu il soit à 0 pendant 4,7µs toutes les 64µs (à 0s et 64µs), conformément aux relevés du 4 ) Note : l édition d un signal se fait en sélectionnant à la souris la partie à modifier puis en cliquant sur 0 ou 1 Réaliser une simulation sur 128µs et imprimer la simulation complète sur 128µs, en format paysage. Programmer le composant Relever le signal sur Q10 et comparer à la simulation. Le signal vidéo est converti en données numériques. Ces données sont stockées dans une mémoire FIFO. La fréquence du signal CLKAD du CAN est de 13,5 MHz et cadence le rythme des conversions. La fréquence du signal CLKME est de 6,75 MHz et cadence la mémorisation des échantillons (1 échantillon sur 2 échantillons convertis est mémorisé). Indiquer quelles sorties du compteur 12 bits prendre pour les signaux CLKAD et CLKME.

6 Génération du signal WE Le signal WE valide l'écriture des échantillons dans la mémoire. La durée du signal vidéo utile sur une ligne est d'environ 52µs. Le signal WE est à 1 lorsque le compteur a une valeur comprise entre 070 h et 5EF h (voir chronogrammes de la fonction FS3.3). Modifier la description VHDL pour générer le signal WE (avec une instruction WHEN, en dehors du process) : we doit être déclarée en sortie (out) we <='1' when (q >= x"070" and q <= x"5ef")else '0' ; Affecter le signal WE à la patte 24. Simuler sur 128µs. Programmer le composant Visualiser simultanément à l'oscilloscope le signal vidéo et le signal WE. Imprimer Indiquer le nombre d'échantillons mémorisés dans la mémoire FIFO pour une ligne VIDEO. Justifier à l'aide des valeurs du compteur et la fréquence du signal CLKME. Indiquer la durée à 1 du signal WE. Justifier avec le nombre de période du signal clk (comptage de 070 h et 5EF h )

Annexe : Schéma de la maquette