Conception d un conteur sur FPGA «Xilinx SPARTAN 6» en VHDL

Documents pareils
Conception Systèmes numériques VHDL et synthèse automatique des circuits

Conception Systèmes numériques VHDL et synthèse automatique des circuits

SIN-FPGA DESCRIPTION PAR SCHEMA

Manipulations du laboratoire

Installation et compilation de gnurbs sous Windows

Summary / Sommaire. 1 Install DRIVER SR2 USB01 Windows seven 64 bits / Installation du DRIVER SR2 USB01 Windows seven 64 bits 2

Quoi de neuf en LabVIEW FPGA 2010?

Introduction à Eclipse

TP1 : Initiation à Java et Eclipse

Microsoft Security Essentials

BIRT (Business Intelligence and Reporting Tools)

Guide d'installation rapide TFM-560X YO.13

GUIDE UTILISATEUR SYSTEMES CCTV

Utilisation de GCM (Google Cloud Messaging) pour Android. Partie préliminaire éventuelle : Création d'un AVD lisant GCM

TABLE DES MATIERES A OBJET PROCEDURE DE CONNEXION

Dossier. Développer en Java sur téléphone mobile. Benjamin Damécourt UFR SITEC Master 2 EESC 11 janvier 2012

Guide d installation du logiciel Proteus V.8 Sous Windows Vista, 7, 8

Mallette Métrologie Contrôle des spectrophotomètres

Innovations Majeures de la Version 4

Le langage VHDL. Eduardo Sanchez EPFL

TP1 : Initiation à Java et Eclipse

CONFIGURATION DE L AUTOMATE SIEMENS

Micro-ordinateurs, informations, idées, trucs et astuces utiliser le Bureau à distance

GUIDE PRATIQUE déplacements professionnels temporaires en France et à l étranger

Génie Logiciel avec Ada. 4 février 2013

TUTORIEL D INSTALLATION D ORACLE ET DE SQL DEVELOPPER TUTORIEL D INSTALLATION D ORACLE...1 ET DE SQL DEVELOPPER...1

WinTask x64 Le Planificateur de tâches sous Windows 7 64 bits, Windows 8/ bits, Windows 2008 R2 et Windows bits

Guide d installation de MySQL

1. Visualiser la «carte» de mon réseau social

sommaire Archives... Archiver votre messagerie... Les notes... Les règles de messagerie... Les calendriers partagés Les listes de diffusions...

Procédure d installation des logiciels EBP sous environnement MAGRET

Oracle Learning Library Tutoriel Database 12c Installer le logiciel Oracle Database et créer une Database

MODE D EMPLOI DU LOGICIEL LIGNES DE TEMPS A partir du film La Mort aux trousses d Alfred Hitchcock

ZOTERO Un outil gratuit de gestion de bibliographies

PIC EVAL Dev Board PIC18F97J60

Installation pas à pas de libre Office (suite Bureautique gratuite)

GANTTPROJECT. Julien TENDERO

J'installe FastStone Image Viewer. Installer le logiciel Découvrir Paramétrer

Commentaires du testeur

Modélisation et Gestion des bases de données avec mysql workbench

Super HUD- Guide Utilisateur

MANUEL UTILISATEUR SOPISAFE V 3.5

Instructions relatives à l installation et à la suppression des pilotes d imprimante PostScript et PCL sous Windows, version 8

Utilisation d une tablette numérique

TD/TP 1 Introduction au SDK d Android

Procédure d installation des logiciels EBP sous environnement ESU4. Serveur SCRIBE ou Windows

IFT287 Exploitation de base de données relationnelles et orientées objet. Laboratoire Mon premier programme Java en Eclipse

AVerMedia CM3000 Manuel d utilisation

Partie 1 : Tutorial de vérification de backup par ABGX 1.0.5

Travaux Pratiques de Commande par ordinateur 1 TRAVAUX PRATIQUES

Pro Monitoring System 1.0. Manuel d'utilisation

Auto formation à Zelio logic

T. BLOTIN Lycée Paul-Eluard SAINT-DENIS

Microsoft Windows XP. Movie Maker 2

HMI target Visu / PLC HMI. Pour réaliser une interface homme machine avec PLC control

Installation de SCCM 2012 (v2)

Installer Enterprise Miner 5.1 en SAS environnement Windows

Principe de fonctionnement du lanceur d'application "AdisTlsStartCfgLotus"

Sélection du contrôleur

Je sais utiliser. Logiciel gratuit de gestion des photos. Étude en 5 parties

DEPLOIEMENT MICROSOFT WINDOWS

WEBVIEW. Serveur Web embarqué dans DIRIS G NOTICE D UTILISATION. com/webview_ software

EPREUVE OPTIONNELLE d INFORMATIQUE CORRIGE

Millenium3 Atelier de programmation

Installation d'un Active Directory et DNS sous Windows Server 2008

GUIDE D UTILISATION DU LOGICIEL DE TELE-MAINTENANCE. TEAM VIEWER Version 7.

SPECIFICATIONS TECHNIQUES : Gestion des Médicaments et des commandes de médicaments

SOMMAIRE 1 INTRODUCTION 3 2 CONTACTER VOTRE SUPPORT 3 3 ESPACE DE GESTION DES CARTES 4 4 CONFIGURER UNE CARTE 5

Manuel d'utilisation: Gestion commerciale - CRM

Assistant d e tablissement de Tableaux

Support de formation Notebook

Surveillance de Scripts LUA et de réception d EVENT. avec LoriotPro Extended & Broadcast Edition

Sommaire. G. Pujolle, F. Ravat, C. Soulé-Dupuy, G. Zurfluh

AUVRAY Clément (168187) HOMBERGER Alexandre (186897) GLADE. Langages, outils et méthodes pour la programmation avancée Page 1 sur 12

Groupe Eyrolles, 2003, ISBN : X

Utilisation du plugin AppliDis SLB (Smart Load Balancing)

SnapMusic Studio 715 Guide d Installation

Installation et paramétrage de Fedora dans VirtualBox.

UserLock Guide de Démarrage rapide. Version 8.5

8. Introduction au logiciel de simulation HYSYS : calcul d'une colonne de distillation.

Cisco Identity Services Engine

Manuel d installation de Business Objects Web Intelligence Rich Client.

Qu est-ce que Student Advantage?

Les PowerToys pour Windows XP

Mise en route de Cobian Backup

ÉCOLE POLYTECHNIQUE DE MONTRÉAL. Département de Génie Électrique. La technologie de TEXAS INSTRUMENTS DSP pour le cours Analyse des Signaux ELE2700

EL70x1 en mode position. Mise en œuvre rapide. VERSION : Beta / DATE : 31 Juillet 2011

Archivage de courriels avec Outlook ( )

Déploiement de SAS Foundation


Serveur d'application Client HTML/JS. Apache Thrift Bootcamp

eproject Manuel utilisateur Version 0.0

Création d un service web avec NetBeans 5.5 et SJAS 9

Tutoriel Création d une source Cydia et compilation des packages sous Linux

Tutoriel code::blocks

Guide d installation des licences Solid Edge-NB RB

Table des matières. 1. Installation de VMware ESXI Pré-requis Installation... 3

Ingénierie Dirigée par les Modèles. Editeurs de modèles. (Eclipse Modeling Tools) Jean-Philippe Babau

MANUEL D UTILISATION ORBITVU EDITOR V.3

Organiser le menu "Démarrer"

Transcription:

Conception d un conteur sur FPGA «Xilinx SPARTAN 6» en VHDL Le but de ce mini-projet est d apprendre un nouveau langage HDL tel que le très populaire «VHDL». Pour ce faire, nous allons utiliser un outil de développement complet de «Xilinx ISE Design suite 14.7». Le but ici, n est pas de faire une implémentation concrète d un FPGA sur une carte électronique, mais plutôt d utiliser entr autre un mode de simulation de test de l outil de développement afin de valider le comportement du code VHDL. Ainsi, comme bien souvent en industrie, le matériel électronique n est pas toujours prêt et le développement logiciel doit alors poursuivre. La simulation électronique nous permet donc d assurer le bon fonctionnement. Le mini-projet consistera alors simplement à concevoir un conteur de 4 bits qui sera alors incrémenté avec l aide d un signal d horloge en entré. Il sera alors très facile de simuler le bon fonctionnement de comportement et de vérifier les bonnes contraintes de temps d exécution. Il n y aura alors pas de différences entre la simulation logicielle et la réalité matérielle. Imaginons que nous devrions faire ce circuit logique. Nous devrions alors avoir ceci : Création d un projet avec Xilinx ISE On doit démarrer le logiciel de développement comme suit :

Vous devez alors cliquer sur File New Project et une fenêtre va alors apparaître comme suit : Vous devez tout simplement donner un nom de projet «LaboConteur» et signifier l emplacement où sera situé tout le contenu de votre projet. Par la suite, cliquer sur «Next» et prenez soin alors de configurer votre projet comme suit :

Cliquer sur «Next» et sur «Finish».

Ajout des librairies nécessaires Cliquer sur «xc6slx9-3tqg144», dans le menu contextuel par un clic droit, sélectionner «New Source», une fenêtre apparaîtra et sélectionner alors «VHDL Module» et donner le nom «conteur», cliquer sur «Next» et «FINISH». Le squelette de votre code VHDL suivant devrait apparaître : Afin de bien développer votre projet du conteur, vous devez ajouter les librairies au niveau du code source:

use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; Vous devriez alors avoir ceci: Ajouter votre code VHDL Le code VHDL que vous devez concevoir doit avoir les caractéristiques suivantes : Un signal d entré «clk» qui va alors permettre de faire incrémenter le conteur; Un signal d entré «reset» qui va alors permettre de mettre à zéro le conteur; Un signal «count_out» qui va permettre de générer un signal de sortie sur 4 bits correspondant alors à la valeur du conteur sur 4 bits. Voici donc ce que vous devez ajouter à votre code VHDL : 1. Vous devez donc ajouter le contenu de la section «entity» afin de décrire un port( clk,reset, count_out) sur le FPGA. 2. Ajouter le code décrivant le comportement du conteur dans la section «Behavioral» a. Ajouter un signal temporaire pour le traitement du conteur comme suit : architecture Behavioral of conteur is signal temp_count : std_logic_vector(3 downto 0) := x"0"; begin b. Ajouter un processus qui va permettre de prendre en charge les signaux (clk, reset et temp_count). Vous devriez donc déclarer votre processus en VHDL comme ceci : counting : process(clk, reset, temp_count) begin

end Ce processus doit alors vérifier le diagramme de flot de données suivant : clk event and clk= 1' then reset = 1 Temp_count <= 0000 temp_count <9 Temp_count <= 0000 Temp_count <= temp_count + 1; Count_out <=temp_count Vous devez donc ajouter le code VHDL nécessaire pour votre conteur au niveau du processus et pouvoir par la suite le compiler.

Compiler Lorsque le code VHDL n est pas compilé, vous devriez alors avoir des points d interrogation sur la partie «Synthesize» «Implement Design». et Afin de pouvoir compiler votre code VHDL, vous devez tout d abord sélectionner le module VHDL en question et sélectionner «Implement Top Module». Après un certain temps, si votre code a été compilé correctement, vous devriez alors retrouver une image comme ceci : Ajout de test pour simulation

Le but ici est d ajouter un test afin de simuler le comportement du conteur qui a été développé. Pour ce faire cliquer sur «xc6slx9-3tqg144» comme auparavant, et au niveau du menu Project, sélectionner «New Source». Une fenêtre va alors apparaître. Sélectionner alors «VHDL Test Bench» donner un nom tel que «ConteurTestBench». Cliquer sur «Next». Une fenêtre va alors apparaître et vous devez alors choisir la source «conteur». Cliquer sur «Next» et «Finish». Exécution de la simulation avec ISIM Pour faire une simulation, cliquer alors sur Simulation tel que: Votre code ConteurTestBench.vhd qui a alors été généré est quelquechose comme :

Cliquer sur le fichier «ConteurTestBench.vhd» Au niveau de la fenêtre des «Process» cliquer sur «Behavioral Check Syntax» et right-click et «RUN» Au niveau de la fenêtre des «Process» cliquer sur «Simulate Behavioral Model» et right-click et «RUN» Une nouvelle fenêtre va alors apparaître avec votre simulation temporelle logique de votre conteur. Cependant, afin de valider le bon fonctionnement, vous devez configurer les bon paramêtres d affichage : Aller dans le menu «View» et cliquer sur «Zoom» «Full View». Par la suite, utiliser la loupe (+) ou appuyer sur F8 afin de voir l exactitude de votre diagramme de temps. Vous devriez alors avoir ceci qui démontre le bon fonctionnement de votre conteur: Pondération Conception du composant en VHDL (4 points) Exécution du logiciel embarqué, captures d'écrans, tests (5 points)

Réponses aux questions individuelles (1 point) Pondération négative: Qualité du français -10% Style au niveau de la programmation (commentaires, noms significatifs, etc) -20% Respect des consignes (noms imposés, etc) -30,00% Respect de la structure du projet. Les fonctionnalitées doivent être dans les bons fichiers Plagiat Retard -40,00% - 100% + note au dossier -30%/jour