Phase Locked Loop (boucle à verrouillage de phase) f ref Φ. f out



Documents pareils
Systèmes de transmission

Automatique Linéaire 1 Travaux Dirigés 1A ISMIN

Equipement. électronique

Manipulation N 6 : La Transposition de fréquence : Mélangeur micro-ondes

Notions d asservissements et de Régulations

Filtres passe-bas. On utilise les filtres passe-bas pour réduire l amplitude des composantes de fréquences supérieures à la celle de la coupure.

VIII- Circuits séquentiels. Mémoires

Mesures de temps de propagation de groupe sur convertisseurs de fréquence sans accès aux OL

Electron S.R.L. - MERLINO - MILAN ITALIE Tel ( ) Fax Web electron@electron.it

TP Modulation Démodulation BPSK

SYSTEMES LINEAIRES DU PREMIER ORDRE

A. N(p) B + C p. + D p2

Chapitre 1 Régime transitoire dans les systèmes physiques

Premier ordre Expression de la fonction de transfert : H(p) = K

INTRODUCTION A L ELECTRONIQUE NUMERIQUE ECHANTILLONNAGE ET QUANTIFICATION I. ARCHITECTURE DE L ELECRONIQUE NUMERIQUE

Donner les limites de validité de la relation obtenue.

Module : systèmes asservis linéaires

Signaux numériques : Multiplexage temporel : TDM

Scarlett Plug-in Suite

TP 7 : oscillateur de torsion

Technique de codage des formes d'ondes

Circuits RL et RC. Chapitre Inductance

Oscillations libres des systèmes à deux degrés de liberté

Automatique Linéaire 1 1A ISMIN

Mode d emploi ALTO MONITOR PROCESSEUR D ÉCOUTE. Version 1.0 Juillet 2003 Français

DETECTOR BICANAL FG2 1. DIMENSIONS ET CONNEXIONS ELECTRIQUES 2. GENERALITES. 24 VDC Alimentat. 24 Vcc. Contact Boucle Contact Boucle 1 6 7

SYSTÈMES ASSERVIS CORRECTION

M1107 : Initiation à la mesure du signal. T_MesSig

1. PRESENTATION DU PROJET

I- Définitions des signaux.

La structure du mobile GSM

UE 503 L3 MIAGE. Initiation Réseau et Programmation Web La couche physique. A. Belaïd

Rapport. Mesures de champ de très basses fréquences à proximité d antennes de stations de base GSM et UMTS

BTS Groupement A. Mathématiques Session Spécialités CIRA, IRIS, Systèmes électroniques, TPIL

EXERCICES - ANALYSE GÉNÉRALE

Cours d électricité. Circuits électriques en courant constant. Mathieu Bardoux. 1 re année

Précision d un résultat et calculs d incertitudes

La conversion de données : Convertisseur Analogique Numérique (CAN) Convertisseur Numérique Analogique (CNA)

8563A. SPECTRUM ANALYZER 9 khz GHz ANALYSEUR DE SPECTRE

LES CARACTERISTIQUES DES SUPPORTS DE TRANSMISSION

AMELIORATION DE LA FIABILITE D UN MOTEUR GRÂCE AU TEST STATIQUE ET DYNAMIQUE

TP_Codeur_Incrémental

I - Quelques propriétés des étoiles à neutrons

EMETTEUR ULB. Architectures & circuits. Ecole ULB GDRO ESISAR - Valence 23-27/10/2006. David MARCHALAND STMicroelectronics 26/10/2006

Introduction : Les modes de fonctionnement du transistor bipolaire. Dans tous les cas, le transistor bipolaire est commandé par le courant I B.

- Instrumentation numérique -

La fonction exponentielle

La modulation de fréquence

Rapport de projet de fin d étude

Exemple d acquisition automatique de mesures sur une maquette de contrôle actif de vibrations

ÉVALUATION FORMATIVE. On considère le circuit électrique RC représenté ci-dessous où R et C sont des constantes strictement positives.

Actions de réduction de bruit sur un moteur poids lourd

PRO505XL Radio CB mobile professionnelle

TD1 Signaux, énergie et puissance, signaux aléatoires

Intérêt du découpage en sous-bandes pour l analyse spectrale

SYSTEME DE PALPAGE A TRANSMISSION RADIO ETUDE DU RECEPTEUR (MI16) DOSSIER DE PRESENTATION. Contenu du dossier :

Définitions. Numéro à préciser. (Durée : )

Le transistor bipolaire. Page N 6 Tranlin

Étude des Corrélations entre Paramètres Statiques et Dynamiques des Convertisseurs Analogique-Numérique en vue d optimiser leur Flot de Test

CONVERTISSEURS NA ET AN

Concours EPITA 2009 Epreuve de Sciences Industrielles pour l ingénieur La suspension anti-plongée de la motocyclette BMW K1200S

La polarisation des transistors

Chapitre 6. Fonction réelle d une variable réelle

EXPLOITATIONS PEDAGOGIQUES DU TABLEUR EN STG

Atelier C TIA Portal CTIA04 : Programmation des automates S7-300 Opérations numériques

Expérience 3 Formats de signalisation binaire

Régulation automatique: tentative de définition

Notice d'utilisation Afficheur multifonctions et système d'évaluation FX 360. Mode/Enter

Introduction à l étude des Corps Finis

Projet audio. Analyse des Signaux ELE2700

Chaine de transmission

Caractéristiques des ondes

1 Savoirs fondamentaux

BACCALAURÉAT GÉNÉRAL SÉRIE SCIENTIFIQUE

Contribution à la conception par la simulation en électronique de puissance : application à l onduleur basse tension

T500 DUAlTACH. JAQUET T500 DualTach Instrument de mesure et de surveillance équipé de 2 entrées fréquence TACHYMETRE 2 CANAUX

Indicateur universel de procédé format 96 x 48 mm ( 1 /8 DIN)

Fiche technique CPU 314SC/DPM (314-6CG13)

Compatibilité Électromagnétique

TRAVAUX PRATIQUES SCIENTIFIQUES SUR SYSTÈME

Exercice 1. Exercice n 1 : Déséquilibre mécanique

Conception. de systèmes électroniques. analogiques

RÉFÉRENTIEL TECHNIQUE

Union générale des étudiants de Tunisie Bureau de l institut Préparatoire Aux Etudes D'ingénieurs De Tunis. Modèle de compte-rendu de TP.

FONCTION COMPTAGE BINAIRE ET DIVISION DE FRÉQUENCE

FAG Detector III la solution pour la surveillance et l équilibrage. Information Technique Produit

G.P. DNS02 Septembre Réfraction...1 I.Préliminaires...1 II.Première partie...1 III.Deuxième partie...3. Réfraction

Résonance Magnétique Nucléaire : RMN

Miraboat. Système alarme autonome pour bateaux

0 20mV; 0 40mV; 0 80mV; 0 160mV; 0 320mV; 0 640mV; 0 1,28V; 0 2,56V 0 5V; 0 10V

Développements limités. Notion de développement limité

ELECTRONIQUE ANALOGIQUE

SIGNAUX NUMERIQUES ET MODULATIONS NUMERIQUES

P1PY7204 Acquisition de données Cours

MESURES D UN ENVIRONNEMENT RADIOELECTRIQUE AVEC UN RECEPTEUR CONVENTIONNEL ETALONNE

Correction de l examen de la première session

NPIH800 GENERATION & RESEAUX. PROTECTION de COURANT TERRE

MESURE ET PRECISION. Il est clair que si le voltmètre mesure bien la tension U aux bornes de R, l ampèremètre, lui, mesure. R mes. mes. .

Cours de Systèmes Asservis

Transcription:

Phase Locked Loop (boucle à verrouillage de phase) f ref Φ f out N

- Principe 2 - Principaux comparateurs de phase 3 - Différents types 3-: PLL du 2 nd ordre - type 3-2: PLL avec pompe de charge - 3 ème et 4 ème ordre 4 - Comportement vis-à-vis du bruit 5 - Architectures 5-: division entière (Integer-N PLL) 5-- prédiviseur fixe 5--2 prédiviseur double module 5-2: division fractionnelle (Fractional-N PLL) 2

- PRINCIPE Dans les systèmes RadioFréquences, le VCO est toujours inséré dans une boucle à verrouillage de phase afin de pouvoir modifier et contrôler la fréquence d oscillation, diminuer le bruit de phase du VCO. f ref comparateur de phase V PD filtre de boucle V VCO VCO f out f div f out /N diviseur de fréquence comparateur de phase (Phase Detector): génère un signal (tension ou courant) dont la composante continue est proportionnelle à φ. V PD = K PD ( φ ref φ div ) filtre de boucle (filtre passe-bas): diviseur de fréquence: extrait la composante continue du signal d entrée. fournit un signal de fréquence N fois plus faible qu en entrée (N programmable). 3

Rappel: f ω = ----- = 2π ----- 2π dφ ----- dt f ref comparateur de phase V PD filtre de boucle V VCO VCO f out f div f out /N diviseur de fréquence principe du verrouillage de la PLL: f ref f dφ ref dφ div ------------ ------------ d div ( φ ref φ div ) > > --------------------------------- > 0 dt dt dt V PD = K PD ( φ ref φ div ) croît en fonction du temps V VCO croît (en supposant le filtre non inverseur) f out et donc augmentent f div f ref = f d ( φ ref φ div ) div --------------------------------- = 0 dt V PD, V VCO, f out constants (PLL verrouillée) f out = N f ref 4

Remarques: après le verrouillage, les signaux à l entrée du comparateur de phase sont à la même fréquence (écart de phase constant), mais pas forcément en phase ( φ s ajuste de façon à présenter à l entrée du VCO la tension adéquate. φ dépend du comparateur de phase et du filtre de boucle utilisés.) 5

PLL = système contre-réactionné étude dynamique nécessaire pour vérifier - la stabilité - le comportement transitoire 6

Analyse de la PLL en considérant la phase comme variable d état φ ref + - φ V ε VCO K PD G(p) φ out 2.π.K VCO p φ div /N fonction de transfert du VCO: f out = K VCO V VCO = t ----- 2π dφ out ------------- dt φ out = ( 2π K VCO V VCO ) dt φ out ( p) 0 = 2π K ------------------------- VCO V p VCO φ div 2π K gain de boucle: --------- VCO = K au moins un pôle à l origine φ PD Gp ( ) ------------------------- --- ref p N (dû au VCO) 7

Rappel: condition de stabilité d un système contre-réactionné in + - A out B A.B étude du gain de boucle A B: 0 db ϕ(a.b) p p 2 f f - 90 Φ M doit être 0 (typiquement 60 ) - 80 8

2 - PRINCIPAUX COMPARATEURS DE PHASE 2- - Porte XOR ref div XOR XOR moyen er cas: f div = f ref ref 2 ème cas: f div < f ref 3 ème cas: f div > f ref ref div XOR div XOR ref div XOR XOR moyen = constante f out = constante XOR moyen augmente f out et donc f div augmentent XOR moyen diminue f out et donc f div diminuent 9

XOR moyen contre-réaction V cc réaction (K PD < 0) K PD = V -------- cc π 0 π 2π φ ε la PLL se verrouille dans cette zone composante en sortie à 2 f ref : doit être supprimée par le filtre de boucle sensible au rapport cyclique des signaux K PD fonction de V cc si f ref et f div sont très différents, tension moyenne en sortie V cc /2, sans indiquer le signe de (f ref -f div ) comparateur de phase et non de fréquence 0

2-2 - Bascule Set - Reset ref SET Q Q moyen div RESET er cas: f div = f ref ref 2 ème cas: f div < f ref 3 ème cas: f div > f ref ref div Q div Q ref div Q Q moyen = constante f out = constante Q moyen augmente f out et donc f div augmentent Q moyen diminue f out et donc f div diminuent

Q moyen V cc K PD = V -------- cc 2π 0 π 2π φ ε la PLL se verrouille dans cette zone composante en sortie à f ref : doit être supprimée par le filtre de boucle insensible au rapport cyclique des signaux (fonctionne sur fronts) K PD fonction de V cc 2

2-3 - PFD (Phase Frequency Detector) - Charge Pump ref V cc V cc D Q RESET Up I 0 I out filtre de boucle 3 valeurs possibles: +I 0, -I 0 ou 0 V VCO div D Q RESET Down I 0 ref er cas: f div = f ref ref 2 ème cas: f div < f ref 3 ème cas: f div > f ref ref div div div I out 0 I out +I 0 0 I out +I 0 0 -I 0 I out moyen = 0 V VCO et f out = constantes I out moyen > 0 V VCO et f out augmentent I out moyen < 0 V VCO et f out diminuent 3

I out moyen I 0-2π -I 0 2π φ ε I 0 K PD = ----- 2π après verrouillage, les entrées du comparateur ont la même fréquence et la même phase insensible au rapport cyclique des signaux (fonctionne sur fronts) détection de phase et de fréquence (verrouillage même si f ref et f div sont initialement éloignées) 4

3 - DIFFÉRENTS TYPES Le comportement dynamique de la PLL dépend du type (de l ordre) du filtre de boucle 3- - PLL du 2 nd ordre - type filtre de boucle d ordre Gp ( ) K LPF = ----------------------- p exemple: R + ------------- ω LPF Gain de boucle: G loop = K PD K LPF ( 2 π K VCO ) notation: K PD K LPF ( 2 π K VCO ) ω c = ------------------------------------------------------------------------- --- N G loop -- p C ----------------------- p + ------------- K LPF = ω LPF = ------- RC ω LPF -20 db/dec doit être inférieure à ω ref (ou 2.ω ref ) pulsation de coupure: ω N ϕ(g loop ) G loop = ω c -- p ----------------------- ω p + ------------- -90 ω LPF Φ -80 M ω c ω LPF 5

2 pôles PLL du 2 nd ordre pôle en 0 type écart relatif ω c - ω LPF fixe la marge de phase ω c fixé par les éléments de la PLL ω LPF à placer «au bon endroit» remarque: ω LPF augmente marge de phase augmente (stabilité augmente) mais filtrage de V VCO moins bon 6

Gain en boucle fermée: in + - A out out ------- in = ------------------------- A + ( A B) φ out --------- φ ref = ω LPF ω c N ----------------------------------------------------------------- + ω LPF p + p 2 ω LPF ω c B notation usuelle d un système du second ordre : φ out --------- φ ref = ω n 2 ----------------------------------------------------------- 2 ω n + ( 2 ξ ωn) p + p 2 N pulsation naturelle : ω n = ω LPF ω c coefficient d amortissement : ξ = -- 2 ω LPF ------------- ω c (équation a) (équation b) φ out --------- ou f out -------- φ ref 20.log N f ref ξ < ------ 2 rappel: K PD K LPF ( 2 π K VCO ) ω c = ------------------------------------------------------------------------- N ξ > ------ 2 ω n ω 7

Dimensionnement de la PLL: Données de départ: K PD, K LPF, K VCO, N ω c fixé ω LPF à déterminer ère possibilité: fixer le cœfficient d amortissement ξ (optimum: ------ ) 2 équation b ω LPF = ω c ( 2ξ) 2 fixé (optimum: 2 ω c ) équation a ω n = ω LPF ω c fixé (optimum: 2 ω c ) 2 ème possibilité: fixer ω LPF en fonction de ω ref (optimum: ---------- pour filtrer suffisamment V VCO ) 0 ω ref Tendance générale : ω ref faible ω LPF faible ω n faible (équation a) système lent ξ faible (équation b) stabilité dégradée ω ref faible cas défavorable 8

Inconvénient de la PLL d ordre 2: impossible de fixer indépendamment le cœfficient d amortissement et la bande passante (un seul degré de liberté: ω LPF ) Comportement transitoire d un système du second ordre (utile pour connaître le temps d établissement de la PLL) réponse d un système du second ordre à un échelon (pour ξ < ) in t système de 2 nd ordre out A amplitude en e t - τ t t - τ cos ω n ξ 2 t + ϕ out() t = A e ------------------------------------------------------------- avec cosϕ τ = tanϕ ------------- ξ ω n ξ = ------------------ ξ 2 9

Calcul du temps d établissement pour une précision finale donnée exemple: changement de fréquence f = 20 MHz précision finale voulue f ε = khz erreur f f ε khz 20 MHz t t 0 erreur = f e = f e f ε t ---- τ t ------ 0 τ t 0 = τ Ln( ---- f ) f ε 20

3-2 - PLL avec pompe de charge (3 ème et 4 ème ordre) Z(p) ref div PFD I 0 I out = ----- φ 2π ε I out C V VCO courant intégré dans une capacité pour obtenir la tension de contrôle du VCO (tant que f div f ref, I out 0 V VCO et donc f out varient) verrouillage lorsque f div = f ref et φ div = φ ref analyse exacte analyse moyenne I out I 0 0 t T I out I out φ ε t I out = I 0 ----- = I 2π 0 ---- T T V VCO V VCO V = I --- 0 t C V I out = ------- T = C I 0 C --- t résultats identiques Si la bande passante de la boucle est faible par rapport à la fréquence d entrée (typ. /0), on peut considérer le fonctionnement moyen sur plusieurs cycles de fonctionnement. 2

Gain de boucle de la PLL: φ ref + - φ ε PFD Z VCO φ out φ div /N I 0 G loop = ----- Zp ( ) 2π 2π K --------------------------- VCO p --- N dépend du filtre utilisé 22

ère possibilité: Z C Zp ( ) = ------ Cp G loop -40 db/dec ϕ(g loop ) -90-80 ω c ω ω instable nécessaire d ajouter un zéro pour stabiliser la boucle 23

2 ème possibilité: Z R C p + ----- ω Zp ( ) R + ------ z = = --------------- avec ω Cp Cp z = ------- RC G loop -40 db/dec ϕ(g loop ) -90-80 ω z -20 db/dec Φ M ω ω 2 pôles (tous les 2 à l origine) + zéro PLL du 2 nd ordre, de type 2 24

3 ème possibilité: ajout d un pôle supplémentaire pour avoir un meilleur filtrage (meilleure réjection de f ref à l entrée du VCO) Z R 2 C 2 p + ----- ω Zp ( ) ------------- z C = C eq p --------------- p + ------ ω p C eq = C + C 2 ω z = -------------- R 2 C 2 G loop -40 db/dec -20 db/dec ω z ω c ω p ω ω p = ------------------------------------- C C 2 R 2 ------------------- C + C 2-40 db/dec ϕ(g loop ) -90-80 Φ M ω 3 pôles (dont 2 à l origine) + zéro PLL du 3 ème ordre, de type 2 25

dimensionnement du filtre de boucle: p + ----- I 0 G loop ----- ω ------------- z 2π K --------------------- VCO = ------------------------- 2π C eq p p + ------ p --- N ω p = I ------- 0 2π ----------------- C eq ω 2 p + ----- ω --------------------- z ( 2π K p + ------ VCO ) ω p --- N équation ϕ( G loop ) = 80 + arctan ω ----- arctan ω z ω ------ ω p équation 2 Pour assurer la stabilité de la boucle, on s arrange pour que l inflexion de phase ait lieu lorsque ω = ω c (phase maximale lorsque G loop =) dϕ ------ dω ----- ω ------ ----------------------- z ω p = ------------------------ = 0 pour ω = ω ω + ----- 2 ω ------ + 2 c ω z ω p ω c = ω z ω p 26

Ayant fixé ω c et φ M voulus, on déduit les valeurs nécessaires pour ω z et ω p : G loop ϕ(g loop ) -90-80 -40 db/dec -20 db/dec ω z ω c ω p -40 db/dec Φ M ω ω ω p = 2 ω c ω z = ------ ω c -------------------------------------- --------------- tanφ cosφ M M ω p ω p = ω c 373, pour φ M = 60 : ω z = ω c 0, 268 27

C = ω z ------ ω p I 0 2π KVCO ----------------------------------- 2 ω c N + ( ω c ω z ) 2 -------------------------------------- + ( ω c ω p ) 2 (équation ) ω p C 2 = C ------ R 2 = ---------------- C 2 ω z ω z Résumé de la démarche: - fixer ω c et φ M (typiquement ω ref /0 et 60 ) - en déduire ω p et ω z - en déduire C, C 2 et R 2 28

Choix de ω c = compromis ω c boucle + rapide moins bon filtrage de la composante à f ref en entrée du VCO + - Dans la pratique, ω c doit rester inférieure à ω ref /0. Pour améliorer le filtrage, on peut ajouter des pôles supplémentaires (mais verrouillage + long, et attention à la stabilité): 4 ème possibilité: R 3 Z R 2 C C 3 C 2 29

nouveau pôle introduit à ω p3 = ----------------- R 3 C 3 ω p3 doit être inférieure à ω ref, pour filtrer suffisamment les raies parasites supérieure à ω c (typiquement 5 ) pour ne pas compromettre la stabilité pôle supplémentaire φ M diminue pour compenser on prend ω c plus faible que dans le cas précédent temps de commutation plus long G loop -40 db/dec -20 db/dec ϕ(g loop ) -90-80 -270 ω z ωc Φ M ω p ω p3-40 db/dec -60 db/dec ω ω PLL du 4 ème ordre, de type 2 4 pôles 2 pôles à l origine 30

démarche: G loop ω z ω 0 ω p ω p3 ω fixer ω c et φ M (comme précédemment: typiquement ω ref /0 et 60 ) ω p ω c -------------------------------------- --------------- tanφ cosφ M M = (comme précédemment) fixer ω p3 légèrement en dessous de ω ref (ω ref /3 par exemple) nouvelle fréquence de coupure (légèrement inférieure à ω c ) ω 0 = tanφ M ( ω p + ω p3 ) ( ω -------------------------------------------------------------------- p + ω p3 ) 2 + ( ω p ω p3 ) ( ω p + ω p3 ) 2 + -------------------------------------------------------------------- + ( ω p ω p3 ) [ tan ( ω p + ω p3 )] 2 φ M ω z = 2 ω 0 ------ + --------- ω p ω p3 3

C = ω z ------ ω p I 0 2π K ----------------------------------- VCO 2 ω 0 N + ( ω c ω z ) 2 ------------------------------------------------------------------------------------------ ( + ( ω c ω p ) 2 ) ( + ( ω c ω p3 ) 2 ) ω p C 2 = C ------ C 2 ω z ω z R 2 = ---------------- C C 3 ------ 0 R 3 = -------------------- C 3 ω p3 32

Remarque: Comparateur de phase PFD considéré jusqu ici: sortie = source de courant. Dans certains cas, sortie = source de tension V cc ref V cc D Q RESET Up I 0 out V cc div D Q RESET Down I 0 On se ramène au cas précédent en modifiant légérement le filtre de boucle: I 0 = ( Vcc) 2 ±-------------------- R 0 C R 2 C 2 réaction et non contre-réaction si aucune précaution n est prise PFD R 0 I 0 - + R 3 C 3 VCO Vcc/2 33

4 - COMPORTEMENT VIS-À-VIS DU BRUIT But de l étude: déterminer comment les différentes sources de bruit affectent le spectre de sortie en fonction de la fréquence PLL incluant les différentes sources de bruit (ici, bruit = bruit de phase) φ n f φ n VCO φ n ref + - K PD G + + 2.π.K VCO p + + φ n out /N φ n ref : bruit de phase de la référence de fréquence (quartz) φ n f : bruit du filtre de boucle φ n VCO : bruit de phase du VCO (typ: -30 dbc/hz @ 0Hz) 34

bruit en sortie: φ n out = 2π K VCO φ n VCO ------------------------- φ p n f ( K PD G) φ n ref --- φ + + N n out φ n out = G loop 2π K N ----------------------- VCO φ + G n ref + ------------------------- ----------------------- φ loop p + G n f + ----------------------- φ loop + G n VCO loop avec G loop K PD G 2π K VCO = ------------------------- p --- N exemple: G = ------------------------- (PLL d ordre 2, type ) ω + j------------- (tendance démontrée ici: identique pour les autres types de PLL) ω LPF G loop ----------------------- peut s écrire sous la forme + G loop ----------------------- peut s écrire sous la forme + G loop ω n 2 ----------------------------------------- p 2 2 + 2ξω n p + ω n p 2 + 2ξω n p ----------------------------------------- p 2 2 + 2ξω n p + ω n (passe bas) (passe haut) 35

bruit en sortie dû à la référence: φ n out N G loop ----------------------- + G loop φ n ref 20 log N -40 db/dec ω n ω dans la bande passante de la PLL, le bruit de la référence se retrouve en sortie multiplié par N le bruit de phase de la référence est filtré pour les fréquences supérieures à ω n /2π 36

bruit en sortie dû au VCO: φ n out ----------------------- φ + G n VCO loop ω n ω +40 db/dec dans la bande passante de la PLL, le bruit de phase du VCO est filtré le bruit de phase du VCO se retrouve en sortie en dehors de la bande passante de la PLL 37

bruit en sortie dû au filtre: 2π K ------------------------- VCO p ----------------------- + G loop φ n f φ n out 20 log (2π.K VCO ) +20 db/dec -20 db/dec ω n ω 38

Bruit de phase total en sortie de la PLL: L{ ω} (dbc/hz) 20. log N ω n VCO sortie de la PLL ref ω Dans la bande passante, le bruit de phase en sortie est dominé par celui de la référence, multiplié par N. Hors bande passante, on retrouve le bruit de phase du VCO. CONCLUSION La PLL est utilisée comme synthétiseur de fréquence pour 2 raisons: f out peut être modifiée (en modifiant N) un oscillateur de très bonne qualité (quartz) pilote un oscillateur de qualité moindre (VCO) le bruit de phase de ce dernier est amélioré 39

5 - ARCHITECTURES 5- - Division entière (Integer-N PLL) 5-- - Prédiviseur fixe f ref comparateur de phase filtre de boucle VCO f out M compteur programmable (lent) N compteur fixe (rapide) f out = N M f ref incrément de fréquence minimal: f step = N f ref 40

Spécification de départ dans le dimensionnement d une PLL: f step (exemple: 200 khz) f step Après avoir fixé N, on détermine f ref = ---------- (faible) N fréquence de coupure de la PLL f c < f ref (ordre de grandeur: f ref /0) f c faible faible bande passante peu d amélioration du bruit de phase du VCO lent lors des changements de fréquence 4

5--2 - Prédiviseur double module f ref comparateur de phase filtre de boucle VCO f out P N/N+ compteur programmable diviseur double module S compteur programmable contrôle du module seul composant f out = ( N P + S) f ref incrément de fréquence minimal: f step = f ref 42

Par rapport à la solution précédente, f ref peut être N fois plus grand bande passante de boucle peut être N fois plus grande solution + intéressante (la plus courante) f ref augmente bande passante peut être augmentée PLL plus rapide + meilleure correction du bruit de phase solution idéale: f ref > f step PLL fractionnelle 43

5-2 - Division fractionnelle (Fractional-N PLL) f ref comparateur de phase filtre de boucle VCO f out N/N+ CTL (bit de contrôle) CTL = 0 division par N CTL = division par (N+) pendant n périodes de f ref : pendant m périodes de f ref : f out = N f ref f out = ( N + ) f ref ( n N f ref ) + ( m ( N + ) f ref ) en moyenne: f out = -------------------------------------------------------------------------------------- = [ n + m N f ] ref + m ------------ f n + m ref CTL 44

N f ref f out (N+) f ref f ref : plage de variation de fréquence et non plus incrément de fréquence f out = N f ref + CTL f ref = (N + CTL) f ref (0 CTL ) non entier incrément sur f out = incrément sur CTL x f ref PLL fractionnelle: permet de choisir f ref plus élevée 45