SIMULATION NUMERIQUE AUTOUR DE PLDS SOUS PROTEUS

Dimension: px
Commencer à balayer dès la page:

Download "SIMULATION NUMERIQUE AUTOUR DE PLDS SOUS PROTEUS"

Transcription

1 SIMULATION NUMERIQUE AUTOUR DE PLDS SOUS PROTEUS SAISIE DE MACHINES A ETATS, GENERATION DE FICHIERS JEDEC, SIMULATION SOUS WARP PUIS MIGRATION VERS PROTEUS VSM 1 INTRODUCTION Cette première journée de formation va vous permettre de vous familiariser avec l'outil de conception graphique "Active HDL FSM" intégré à l'environnement de développement pour composants logiques programmables, WARP de Cypress. A travers différents exercices, vous allez apprendre à générer des fichiers de description en langage VHDL à partir de machines à états dessinées sous "Active HDL FSM". Puis, après simulation sous WARP, vous génèrerez des fichiers "JEDEC" et les rendrez compatibles avec PROTEUS. Enfin, après saisie des différents schémas sous PROTEUS, vous procéderez à la validation du bon fonctionnement de vos machines à états par simulation autour d'un composant logique programmable de type 22V10. 2 LES MACHINES SYNCHRONES A NOMBRE FINIS D ETATS (D'après un travail réalisé par Patrick Cohen à l'iufm de Toulouse en 1999) Une machine à états (M.A.E.) en anglais Finite State Machine (F.S.M.) est un système dynamique, qui peut se trouver, à chaque instant, dans une position parmi un nombre fini de positions possibles. Elle parcourt des cycles, en changeant éventuellement d état lors des transitions actives de l horloge. L architecture générale d une machine à état est présentée cidessous. Horloge Entrées p Fonction Combinatoire Calcul de l état futur n Etat futur Registre d état n Fonction Combinatoire Calcul des sorties q Sorties Etat actuel

2 2.1 HORLOGE, REGISTRE D ETAT ET TRANSITIONS Le registre d état, piloté par son horloge, constitue le cœur d une machine à états. Les autres blocs fonctionnels sont à son service LE REGISTRE D ETAT Il est constitué de n bascules synchrones. Son contenu représente l état actuel de la machine. Il s agit d un nombre codé en binaire sur n bits. L entrée du registre d état constitue l état futur, celui qui sera chargé lors de la prochaine transition active de l horloge. Le registre d état est la mémoire de la machine. La taille du registre d état fixe le nombre d états accessibles. Si n est le nombre de bascules, le nombre d états N = 2n L HORLOGE Le rôle de l horloge est de fixer les instants où les transitions entre états sont prises en compte. Entre deux fronts consécutifs de l horloge, la machine est figée en position mémoire. 2.2 LES DIFFERENTES ARCHITECTURES Suivant la façon dont les sorties dépendent des états et des commandes, on distingue deux types de machines à états : les machines de Moore et les machines de Mealy. Dans les premières les sorties ne dépendent que de l état actuel (la liaison en trait interrompue est absente), pour les secondes les sorties dépendent de l état actuel et des entrées (la liaison en trait interrompu est présente). 2.3 OUTILS DE DESCRIPTION Si l outil d analyse et de synthèse des fonctions combinatoires est la table de vérité, le diagramme de transition constitue l outil privilégié pour l analyse et la synthèse des fonctions séquentielles LE DIAGRAMME DE TRANSITION On associe "une bulle" à chaque valeur possible du registre d état. L évolution du système est représentée par des flèches représentant les transitions. Pour qu une transition soit activée il faut que les trois conditions suivantes soient vérifiées : 1. Le système se trouve dans l état "source" considéré 2. La condition de réalisation sur les entrées est vraie 3. Un front actif de l horloge survient Page 2/19

3 Pour les machines de Moore les sorties évoluent après l activation de la transition. Les valeurs des sorties seront représentées dans les cases du diagramme. Pour les machines de Mealy les sorties évoluent après l évolution des entrées. Les valeurs des sorties seront représentées sur les flèches du diagramme. Etat 0 S 0 =1 S 1 =1 S 0 =1 S 1 =0 Etat 1 Etat 0 Etat 2 S 0 =1 S 1 =1 S 0 =1 S 1 =0 S 0 =1 S 1 =0 Etat 1 S 0 =0 S 1 =0 Machine de Moore Machine de Mealy Etat 2 S 0 =0 S 1 =0 S 0 =0 S 1 = DU DIAGRAMME AUX EQUATIONS Le passage du diagramme de transition aux équations est indispensable pour synthétiser la machine à états avec des circuits standards. L outil permettant le passage exhaustif du diagramme aux équations est la table de transitions et d états. C est une table de vérité constituée : en entrée : de l état actuel du registre d état des entrées de la machine à états en sortie : de l état futur du registre d état des sorties de la machine à états Les équations des sorties du registre d état sont ensuite adaptées au type de bascules utilisées. Comme pour les fonctions combinatoires la complexité du problème croit de façon exponentielle avec le nombre d états et le nombre d entrées. Page 3/19

4 2.3.3 DESCRIPTION VHDL Le langage VHDL offre de multiples possibilités pour traduire le fonctionnement d une machine à états. Nous ne nous intéresserons qu à la description comportementale. D une façon générale, seules seront envisagées les fonctions séquentielles synchrones. Le processus qui décrit le fonctionnement d une machine à états comporte deux structures imbriquées : le traitement des commandes et le traitement de l état de départ de chaque transition. Les commandes se prêtent bien à une modélisation par des structures hiérarchiques de connues de type : if elsif else end if. Les états se prêtent bien à une modélisation en terme d aiguillage par les structures de type : case when when others end case. Le registre d état est matérialisé par deux éléments : Un signal interne de type bit_vector ou integer déclaré de façon à être codé sur n chiffres binaires. Un processus, activé par le seul signal d horloge qui est l unique endroit où le signal d état subit une affectation. 3 QUELQUES RAPPELS SUR LE GAL22V BROCHAGE Page 4/19

5 3.2 MACRO-CELLULES DE SORTIES 3.3 STRUCTURE INTERNE Page 5/19

6 4 PREMIERE REALISATION : SYNTHESE D'UN SEQUENCEUR 4.1 ANALYSE DU CAHIER DES CHARGES On désire réaliser une fonction dont la sortie S recopie l état logique présent sur son entrée E si celle-ci est restée stable après 2 coups d horloge successifs. L entrée RAZ a été rajoutée afin que l état initial du système soit défini. De façon plus générale, Un système séquentiel doit toujours être initialisé. De plus, cette initialisation doit être asynchrone. HOR RAZ E Q_F2 S 4.2 TRACE DES CHRONOGRAMMES HOR E S t t t 4.3 REPRESENTATION DU DIAGRAMME DE TRANSITION. RST=0 RAZ=0 Etat 0 S=0 Etat 5 S=1 Etat 1 S=0 Etat 4 S=1 Etat 2 S=0 Etat 3 S=1 Page 6/19

7 4.4 DESCRIPTION EN LANGAGE VHDL library ieee ; use ieee.std_logic_1164.all; entity MAE is port ( E,RAZ,HOR : in STD_LOGIC ; S : out STD_LOGIC ); end MAE; architecture COMPORTEMENT of MAE is signal REG_ETAT : STD_LOGIC_VECTOR(2 downto 0); process (HOR,RAZ) begin if RAZ='0' then REG_ETAT <= "000"; elsif (HOR event and HOR='1') then case REG_ETAT is when "000" => S = '0' ; if E = '1' then REG_ETAT <= "001"; else REG_ETAT <= "000"; end if ; when "001" => S = '0' ; if E = '1' then REG_ETAT <= "010"; else REG_ETAT <= "000"; end if ; when "010" => S = '0' ; if E = '1' then REG_ETAT <= "011"; else REG_ETAT <= "000"; end if ; when "011" => S = '1' ; if E = '1' then REG_ETAT <= "011"; else REG_ETAT <= "100"; end if ; when "100" => S = '1' ; if E = '1' then REG_ETAT <= "011"; else REG_ETAT <= "101"; end if ; when "101" => S = '0' ; if E = '1' then REG_ETAT <= "011"; else REG_ETAT <= "000"; end if ; when others => REG_ETAT <= "000"; end case ; end if ; end process ; end COMPORTEMENT ; 4.5 LANCEMENT DU LOGICIEL WARP A l'aide du gestionnaire de projet de WARP, nous allons maintenant réaliser le séquenceur décrit ci-dessous puis effectuer une simulation sous PROTEUS à partir du fichier JEDEC généré après compilation de la machine à états. Cliquez sur l'icône "Galaxy" pour démarrer le gestionnaire de projet du logiciel WARP édité par Cypress. Galaxy Page 7/19

8 Ouvrez un nouveau projet en sélectionnant "New" dans le menu "File" du gestionnaire de projets. Sélectionnez l'option "Project [Target-Device]" et cliquez sur OK. Cliquez sur VHDL, nommez votre projet "SEQUENCEUR", choisissez le répertoire C:\Cypress\TP1 comme répertoire de sauvegarde et cliquez deux fois sur le bouton "Suivant". SEQUENCEUR Choisissez dans la catégorie des "SPLD's", le composant cible soit un PALC22V10D- 15PC puis cliquez sur le bouton "Terminer". Lorsque la question "Do you want to save the new project" apparaît, cliquez sur le bouton "Oui". Page 8/19

9 5 TRACE DE LA MACHINE A ETATS ET COMPILATION Sélectionnez l'outil "Active-HDL FSM" dans le menu "Tools" du gestionnaire de projets pour lancer l'éditeur graphique de machines à états. Sélectionnez l'option "Use HDL Design Wizard", cliquez sur OK puis sur le bouton "Suivant". Sélectionnez l'option VHDL et cliquez sur le bouton "Suivant". Nommez votre machine à états "SEQUENCEUR" et à l'aide du bouton "Browse", sélectionnez le répertoire de sauvegarde "C:\Cypress\TP1". Puis, cliquez sur le bouton "Suivant". Page 9/19

10 Choisissez les entrées et les sorties de votre machine à états conformément à la figure ci-dessous puis cliquez sur le bouton "Suivant" et enfin sur le bouton "Terminer" après avoir sélectionné l'option "One" indiquant que vous désirez dessiner seulement une machine à états. Vous êtes maintenant dans l'éditeur graphique de machines à états et votre écran doit être conforme à l'image ci-dessous. Pour une meilleure lisibilité du code généré, il est préférable de remplacer le mot "sreg" par MAE (pour Machine à Etats). Page 10/19

11 A l'aide de l'outil graphique FSM, vous allez maintenant saisir la machine à états qui vous permettra de générer automatiquement le code VHDL décrivant fonctionnement de votre séquenceur. La figure ci-dessous représente la machine à états que vous allez devoir saisir à l'aide de l'outil FSM. RAZ='0' S0 S<='0' S1 S<='0' S5 S<='1' S2 S<='0' S4 S<='1' S3 S<='1' Recopiez la machine à états représentée ci-dessus. Cliquez sur "HDL Code Generation" dans le menu déroulant "Synthetis" pour générer le programme codé en langage VHDL relatif à votre machine à états. Répondez oui à la question "Do you want to see the generated code". L'indication "0 errors" doit apparaître en bas de votre écran. Dans le cas contraire corrigez les erreurs contenues dans votre "diagramme à bulles" et regénérez le code VHDL. Comparez le code généré avec celui attendu et décrit lors de la présentation du séquenceur puis faîtes le lien avec la machines à états que vous venez de tracer. Fermez l'éditeur de texte et réduisez l'outil graphique de saisie de machines à états. Page 11/19

12 6 COMPILATION DU CODE VHDL GENERE PAR LA MAE A l'aide de l'outil graphique FSM, vous venez de générer le programme, codé en langage VHDL relatif au séquenceur décrit dans le cahier des charges. Il vous reste maintenant à intégrer le code VHDL dans le gestionnaire de projet Galaxy et à le compiler pour générer un fichier au format JEDEC implantable dans un composant logique programmable de type 22V10 et un fichier VHDL contenant les "stimulis" permettant de simuler le fonctionnement du séquenceur réalisé à l'aide de l'outil de simulation "Active-HDL sim". Cliquez sur l'option "Add Files" dans le menu déroulant "Project". Intégrez le code VHDL généré précédemment dans le gestionnaire de projet en cliquant deux fois sur "SEQUENCEUR.vhd" puis sur le bouton OK. Le fichier " SEQUENCEUR.vhd" doit maintenant être intégré au gestionnaire de projets conformément à la figure ci-dessous. Vous pouvez visualiser le listing VHDL en cliquant deux fois sur "SEQUENCEUR.vhd". Votre écran doit maintenant être conforme à l'image ci-dessous. Vous retrouvez le code VHDL généré précédemment. L'éditeur VHDL de WARP affiche les instructions en bleu, les variables en noir et les commentaires en rouge. Il vous reste maintenant à compiler votre projet en appuyant sur la touche F7 ou en cliquant sur l'icône représentée ci-dessous. Page 12/19

13 Le bas de votre écran doit maintenant être conforme à l'image ci-dessous. Cette annotation vous indique que la compilation du projet a été réalisée avec succès. 7 VERIFICATION DE LA CONFORMITE AVEC LE CAHIER DES CHARGES PAR SIMULATION Il est maintenant indispensable de vérifier la conformité du fonctionnement de notre séquenceur avec le cahier des charges par simulation. L'environnement WARP de Cypress met à votre disposition un outil de simulation extrêmement performant qui permet de simuler en temps réel le fonctionnement d'un composant logique programmable. Sélectionnez l'outil "Active-HDL Sim" dans le menu "Tools" du gestionnaire de projets pour lancer le simulateur intégré à l'environnement WARP. Cliquez sur l'option "Open VHDL" du menu déroulant "File" et ouvrez le fichier "SEQUENCEUR.vhd" qui se trouve dans le répertoire C:\Cypress\TP1\vhd. Cliquez sur l'option "Add signals" du menu déroulant "Waveform" et cliquez deux fois sur les signaux HOR, RAZ, E et S. La partie gauche de votre écran doit maintenant être conforme à l'image ci-dessous. Il vous faut maintenant indiquer au simulateur que l'entrée HOR est l'entrée d'horloge de votre compteur et régler sa fréquence et son rapport cyclique. Page 13/19

14 Cliquez sur le mot "hor" situé dans la colonne "Name" pour le sélectionner. Cliquez ensuite sur l'option "Stimulators" du menu déroulant "Waveform" et réglez la fréquence du signal d'horloge à 1MHz conformément à la figure ci-dessous. Cliquez sur le bouton "Apply" puis deux fois sur le mot "raz" situé dans la colonne "Name" du simulateur. Complétez la boîte de dialogue conformément à la figure cidessous en respectant l'emplacement des espaces. Cliquez sur le bouton "Apply" puis deux fois sur le mot "e" situé dans la colonne "Name" du simulateur. Complétez la boîte de dialogue conformément à la figure cidessous en respectant l'emplacement des espaces. Page 14/19

15 Cliquez sur "Apply" puis sur "Close". Votre simulateur est maintenant prêt à fonctionner. Choisissez un pas de simulation de 800ns puis lancez la simulation afin de vérifier la conformité de vos chronogrammes avec le cahier des charges en actionnant régulièrement la touche "E" de votre clavier Si vous avez fixé le type "séquentiel" pour la sortie S, vous devez constater un problème de fonctionnement : En fait, le cahier des charges n'est pas respecté. La sortie S ne prend pas en compte le changement d'état de l'entrée E au troisième front d'horloge mais au quatrième. Pour corriger ce problème, vous devez modifier votre machine à états conformément à la figure ci-dessous ou fixer le type "combinatoire" pour la sortie S. RAZ='0' S<='0' S<='0' S<='1' S5 S0 S1 Maintenant, la sortie change d'état à l'entrée dans "la bulle" S<='0' S4 S<='1' S3 S<='1' S2 Recompilez votre machine à états et relancez la simulation pour valider la conformité de votre nouveau séquenceur avec la cahier des charges. La copie d'écran ci-dessous montre le fonctionnement du séquenceur après modification de la machine à états. Si le fonctionnement de votre séquenceur est parfaitement conforme au cahier des charges, vous pouvez maintenant fermer l'outil de simulation et l'outil graphique de saisie de machines à états. Page 15/19

16 8 GENERATION DU FICHIER JEDEC Nous allons maintenant procéder à l'affection des broches d'entrée et sorties sur le GAL 22V10 et modifier le fichier JEDEC généré de manière à le rendre compatible avec PROTEUS. A partir du gestionnaire de projet Galaxy, ajoutez l'instruction : attribute pin_numbers of sequenceur:entity is "HOR:1 RAZ:2 E:3 S:23"; après la déclarations des entrées et sorties dans l'entité du programme SEQUENCEUR.vhd. Relancez la compilation en actionnant la touche F7. Vérifiez le "Pinout" et le taux d'utilisation du PLD dans les fichiers de sortie générés généré lors de la compilation. 9 SIMULATION SOUS PROTEUS VSM Procédez à la saisie du schéma structurel du séquenceur sous Proteus VSM. Lancez la simulation. Que constatez-vous? Modifiez le fichier JEDEC de manière à le rendre compatible avec Proteus et relancez la simulation. 10 ET MAINTENANT, A VOUS 10.1 REALISATION D'UN DE ELECTRONIQUE On se propose de réaliser un dé électronique avec affichage à LEDs. Il devra être équipé d un bouton poussoir noté BP et de 7 leds notées D1 à D7. Tant que le bouton poussoir BP est appuyé, le dé parcourt un cycle de 6 états à "grande vitesse" (suffisamment vite pour ne pas être distingué par un œil humain). Dès que le bouton poussoir BP est relâché, le dé s arrête dans l un des 6 états du cycle. Les 6 états du cycle sont les suivants : Etat 1 Etat2 Etat 3 Etat 4 Etat 5 Etat 6 Le repérage des LEDS sera effectué comme suit : de gauche à droite et de haut en bas, D1, D2..D7. La led centrale est donc D4, la led en bas à droite est D7. Page 16/19

17 Travail demandé Créez un nouveau projet sous Galaxy, tracez la machine à états sous "Active-HDL fsm", simulez votre projet sous "Active-DDL-sim" puis validez le bon fonctionnement de votre projet sous Proteus VSM L'ABEILLE BALADEUSE (D'après une idée originale de Louis Reynier, enseignant en BTS SE au lycée Cabanis) Une abeille-jouet à roulettes pour enfants se présente sous la forme suivante : A M AR AV Un moteur à courant continu entraîne les roues de l abeille. Une commande par relais permet d inverser le sens de rotation du moteur par inversion de la polarité. L abeille est équipée d un capteur à l avant (Capteur AV) et d un capteur à l arrière (Capteur AR). Ces capteurs sont en fait de simples boutons poussoirs. L appareil est équipé de 2 boutons poussoirs sur le dos de l abeille : un bouton poussoir qui active le fonctionnement de l abeille (Bouton poussoir M) et un qui permet de l arrêter (Bouton poussoir A). La gestion du véhicule est assurée par un système séquentiel synchrone embarqué :. AV AR M A GESTION DU VEHICULE D I Variables d entrée : AV : Bouton poussoir Avant : à 1 si un obstacle est présent AR : Bouton poussoir Arrière : à 1 si un obstacle est présent M : Bouton poussoir Marche : à 1 si le Bouton poussoir est appuyé. A : Bouton poussoir Arret : à 1 si le Bouton poussoir est appuyé. Page 17/19

18 Variables de sortie : D : Commande du moteur dans le sens direct ( à 1 en marche avant) I : Commande du moteur dans le sens inverse( à 1 en marche arrière) Nota : Véhicule arrêté D=0 et I = 0 Le fonctionnement de l abeille est le suivant : Dès que l utilisateur appuie sur M (M=1), l abeille se met en marche avant. (D=1). Dès qu un obstacle est détecté à l avant (AV=1), l abeille recule (I=1) jusqu à ce qu un obstacle soit détecté à l arrière (AR =1). Le cycle continue jusqu à ce que l utilisateur appuie sur A (A=1), le moteur s arrête (D=0, I=0). Remarque : Quand le véhicule recule, un dispositif mécanique met les roues en position de tourner ; ce qui permet au véhicule de ne jamais rester sur le même parcours. Travail demandé Créez un nouveau projet sous Galaxy, tracez la machine à états sous "Active-HDL fsm", simulez votre projet sous "Active-DDL-sim" puis validez le bon fonctionnement de votre projet sous Proteus VSM UN CHENILLARD AVEC DEUX SENS DE ROTATION (D'après une idée originale de Louis Reynier, enseignant en BTS SE au lycée Cabanis) On se propose de réaliser un système séquentiel synchrone constitué de 2 entrées T et H et de 4 sorties L1, L2, L3, L4 (LEDs): T H Système séquentiel synchrone L1 L2 L3 L4 Le principe de fonctionnement devra être le suivant : T H Fonctionnement 0 0 Arrêt en position initiale : Etat A 0 1 Horaire : on parcourt le cycle A,B,C,D,A..( sens horaire) 1 0 Trigo : on parcourt le cycle dans l ordre A,D,C,B,A..( sens trigo) 1 1 Blocage dans l état courant (Affichage figé sur A,B,C, ou D) Page 18/19

19 A) L1 B) L1 L4 L2 L4 L2 L3 C) D) L1 L3 L1 L4 L2 L4 L2 L3 L3 LED allumée LED éteinte Travail demandé Créez un nouveau projet sous Galaxy, tracez la machine à états sous "Active-HDL fsm", simulez votre projet sous "Active-DDL-sim" puis validez le bon fonctionnement de votre projet sous Proteus VSM. Page 19/19

Manipulations du laboratoire

Manipulations du laboratoire Manipulations du laboratoire 1 Matériel Les manipulations de ce laboratoire sont réalisées sur une carte électronique comprenant un compteur 4-bit asynchrone (74LS93) avec possibilité de déclenchement

Plus en détail

SIN-FPGA DESCRIPTION PAR SCHEMA

SIN-FPGA DESCRIPTION PAR SCHEMA SIN-FPGA DESCRIPTION PAR SCHEMA Documents ressources: http://www.altera.com/literature/lit-index.html Introduction to Quartus II : intro_to_quartus2.pdf Documentation QUARTUS II : quartusii_handbook.pdf

Plus en détail

T. BLOTIN Lycée Paul-Eluard 93206 SAINT-DENIS

T. BLOTIN Lycée Paul-Eluard 93206 SAINT-DENIS T. BLOTIN Lycée Paul-Eluard 93206 SAINT-DENIS SOMMAIRE I. Le VHDL pour qui, pourquoi, quand, comment? A. Le VHDL!...... 1 B. Pourquoi un langage de description?...... 1 C. Les limites actuelles...... 2

Plus en détail

Le langage VHDL. Eduardo Sanchez EPFL

Le langage VHDL. Eduardo Sanchez EPFL Le langage VHDL Eduardo Sanchez EPFL Livres conseillés: John F. Wakerly Digital design (4th edition) Prentice Hall, 2005 Peter J. Ashenden The designer's guide to VHDL (3rd edition) Morgan Kaufmann, 2008

Plus en détail

Conception Systèmes numériques VHDL et synthèse automatique des circuits

Conception Systèmes numériques VHDL et synthèse automatique des circuits Année 2008-2009 Conception Systèmes numériques VHDL et synthèse automatique des circuits Travaux pratiques Pentium4 Présentation du simulateur VHDL sous environnement Cadence Présentation de l outil Synopsys

Plus en détail

IFT1215 Introduction aux systèmes informatiques

IFT1215 Introduction aux systèmes informatiques Introduction aux circuits logiques de base IFT25 Architecture en couches Niveau 5 Niveau 4 Niveau 3 Niveau 2 Niveau Niveau Couche des langages d application Traduction (compilateur) Couche du langage d

Plus en détail

ET 24 : Modèle de comportement d un système Boucles de programmation avec Labview.

ET 24 : Modèle de comportement d un système Boucles de programmation avec Labview. ET 24 : Modèle de comportement d un système Boucles de programmation avec Labview. Sciences et Technologies de l Industrie et du Développement Durable Formation des enseignants parcours : ET24 Modèle de

Plus en détail

Conception Systèmes numériques VHDL et synthèse automatique des circuits

Conception Systèmes numériques VHDL et synthèse automatique des circuits Année 2011-2012 Conception Systèmes numériques VHDL et synthèse automatique des circuits Travaux pratiques WIDEMACV1 LAAS-CNRS 2011 Présentation du simulateur VHDL sous environnement Cadence Présentation

Plus en détail

Logiciel PICAXE Programming Editor

Logiciel PICAXE Programming Editor Logiciel PICAXE Programming Editor Notice réduite 1. Lancer le logiciel PICAXE Programming Editor (Vidéo : «Lancer le programme»). 2. Dessiner un diagramme (Vidéos : «Nouveau programme» et «Dessiner le

Plus en détail

1) Installation de Dev-C++ Téléchargez le fichier devcpp4990setup.exe dans un répertoire de votre PC, puis double-cliquez dessus :

1) Installation de Dev-C++ Téléchargez le fichier devcpp4990setup.exe dans un répertoire de votre PC, puis double-cliquez dessus : 1) Installation de Dev-C++ Téléchargez le fichier devcpp4990setup.exe dans un répertoire de votre PC, puis double-cliquez dessus : La procédure d installation démarre. La fenêtre suivante vous indique

Plus en détail

TABLE DES MATIÈRES 1. DÉMARRER ISIS 2 2. SAISIE D UN SCHÉMA 3 & ' " ( ) '*+ ", ##) # " -. /0 " 1 2 " 3. SIMULATION 7 " - 4.

TABLE DES MATIÈRES 1. DÉMARRER ISIS 2 2. SAISIE D UN SCHÉMA 3 & '  ( ) '*+ , ##) #  -. /0  1 2  3. SIMULATION 7  - 4. TABLE DES MATIÈRES 1. DÉMARRER ISIS 2 2. SAISIE D UN SCHÉMA 3! " #$ % & ' " ( ) '*+ ", ##) # " -. /0 " 1 2 " 3' & 3. SIMULATION 7 0 ( 0, - 0 - " - & 1 4. LA SOURIS 11 5. LES RACCOURCIS CLAVIER 11 STI Electronique

Plus en détail

PIC EVAL Dev Board PIC18F97J60

PIC EVAL Dev Board PIC18F97J60 PIC EVAL Dev Board PIC18F97J60 2 TP1 : Prise en main de l environnement de programmation pour la carte PIC EVAL-ANFA Pour répondre aux questions et justifier vos réponses, vous pouvez faire des copies

Plus en détail

Animation Shop PREAMBULE... 2 CONTRAINTE... 2 CREER UNE ANIMATION... 2 AJOUTER DES IMAGES... 3 ENREGISTRER UNE ANIMATION... 3

Animation Shop PREAMBULE... 2 CONTRAINTE... 2 CREER UNE ANIMATION... 2 AJOUTER DES IMAGES... 3 ENREGISTRER UNE ANIMATION... 3 Jasc Software Animation Shop Sommaire : PREAMBULE... 2 CONTRAINTE... 2 CREER UNE ANIMATION... 2 AJOUTER DES IMAGES... 3 ENREGISTRER UNE ANIMATION... 3 AJOUTER DE L'EFFET... 5 TRANSISSIONS D'IMAGE... 5

Plus en détail

NOTICE D' UTILISATION CAMWORKS FRAISAGE. Luc Vallée Lycée Blaise Pascal Segré

NOTICE D' UTILISATION CAMWORKS FRAISAGE. Luc Vallée Lycée Blaise Pascal Segré NOTICE D' UTILISATION Luc Vallée Lycée Blaise Pascal Segré FRAISAGE SOMMAIRE allée Sciences et techniques Fiche n 1 - Généralités principe....page 3 Fiche n 2 - Lancer une application fraisage...page 7

Plus en détail

ARDUINO DOSSIER RESSOURCE POUR LA CLASSE

ARDUINO DOSSIER RESSOURCE POUR LA CLASSE ARDUINO DOSSIER RESSOURCE POUR LA CLASSE Sommaire 1. Présentation 2. Exemple d apprentissage 3. Lexique de termes anglais 4. Reconnaître les composants 5. Rendre Arduino autonome 6. Les signaux d entrée

Plus en détail

Utilisation de l éditeur.

Utilisation de l éditeur. Utilisation de l éditeur. Préambule...2 Configuration du navigateur...3 Débloquez les pop-up...5 Mise en évidence du texte...6 Mise en évidence du texte...6 Mise en page du texte...7 Utilisation de tableaux....7

Plus en détail

MANUEL TBI - INTERWRITE

MANUEL TBI - INTERWRITE MANUEL TBI - INTERWRITE TBIIW TITRE Manuel InterWrite WorkSpace INTITULE Manuel d'utilisation du logiciel InterWrite accompagnant le tableau blanc interactif CALCOMP OBJECTIF Aide mémoire sur les fonctionnalités

Plus en détail

Travaux Pratiques de Commande par ordinateur 1 TRAVAUX PRATIQUES

Travaux Pratiques de Commande par ordinateur 1 TRAVAUX PRATIQUES TRAVAUX PRATIQUES Le présent travail vise à développer une interface visuelle de programmation des entrées- sorties du port LPT d un PC à l aide du logiciel VISUAL BASIC. I- EDITION ET TEST DU PROGRAMME

Plus en détail

C.F.A.O. : Conception et Fabrication Assistées par Ordinateur.

C.F.A.O. : Conception et Fabrication Assistées par Ordinateur. C.F.A.O. : Conception et Fabrication Assistées par Ordinateur. La CFAO réunit dans une même démarche informatique les actions de conception et de fabrication d un objet. La technique utilisée permet à

Plus en détail

3. SPÉCIFICATIONS DU LOGICIEL. de l'expression des besoins à la conception. Spécifications fonctionnelles Analyse fonctionnelle et méthodes

3. SPÉCIFICATIONS DU LOGICIEL. de l'expression des besoins à la conception. Spécifications fonctionnelles Analyse fonctionnelle et méthodes PLAN CYCLE DE VIE D'UN LOGICIEL EXPRESSION DES BESOINS SPÉCIFICATIONS DU LOGICIEL CONCEPTION DU LOGICIEL LA PROGRAMMATION TESTS ET MISE AU POINT DOCUMENTATION CONCLUSION C.Crochepeyre Génie Logiciel Diapason

Plus en détail

Mini_guide_Isis.pdf le 23/09/2001 Page 1/14

Mini_guide_Isis.pdf le 23/09/2001 Page 1/14 1 Démarrer...2 1.1 L écran Isis...2 1.2 La boite à outils...2 1.2.1 Mode principal...3 1.2.2 Mode gadgets...3 1.2.3 Mode graphique...3 2 Quelques actions...4 2.1 Ouvrir un document existant...4 2.2 Sélectionner

Plus en détail

1 Introduction C+ + Algorithm e. languag. Algorigramm. machine binaire. 1-1 Chaîne de développement. Séance n 4

1 Introduction C+ + Algorithm e. languag. Algorigramm. machine binaire. 1-1 Chaîne de développement. Séance n 4 1 Introduction 1-1 Chaîne de développement Algorithm e C+ + Algorigramm e languag e machine binaire Le programme est écrit à l aide de Multiprog sous forme d algorigramme puis introduit dans le microcontrôleur

Plus en détail

< Atelier 1 /> Démarrer une application web

< Atelier 1 /> Démarrer une application web MES ANNOTATIONS SONT EN ROUGE : Axel < Atelier 1 /> Démarrer une application web Microsoft France Tutorial Découverte de ASP.NET 2.0 Sommaire 1 INTRODUCTION... 3 1.1 CONTEXTE FONCTIONNEL... 3 1.2 CONTEXTE

Plus en détail

GUIDE DE PRISE EN MAIN ISIS PROTEUS V7

GUIDE DE PRISE EN MAIN ISIS PROTEUS V7 GUIDE DE PRISE EN MAIN ISIS PROTEUS V7 1. Lancement d'isis PROTEUS V7:...2 2. Configuration de l'application d'isis PROTEUS V7:...3 3. Présentation de l'interface d'isis PROTEUS V7:...4 a) Barre de menus:...4

Plus en détail

ActoPalm 1.0 b. Logiciel d'aide au relevé d'observation in situ sur Organiseurs PalmOS Compatibles. Alain Kerguelen, mai 2002

ActoPalm 1.0 b. Logiciel d'aide au relevé d'observation in situ sur Organiseurs PalmOS Compatibles. Alain Kerguelen, mai 2002 ActoPalm 1.0 b Logiciel d'aide au relevé d'observation in situ sur Organiseurs PalmOS Compatibles Alain Kerguelen, mai 2002 ActoPalm permet le relevé d'observations chronologiques par désignation de zones

Plus en détail

VIII- Circuits séquentiels. Mémoires

VIII- Circuits séquentiels. Mémoires 1 VIII- Circuits séquentiels. Mémoires Maintenant le temps va intervenir. Nous avions déjà indiqué que la traversée d une porte ne se faisait pas instantanément et qu il fallait en tenir compte, notamment

Plus en détail

IUT BREST UN LOGICIEL SCADA : PC VUE 2010 DEP.GMP

IUT BREST UN LOGICIEL SCADA : PC VUE 2010 DEP.GMP IUT BREST DEP.GMP UN LOGICIEL SCADA : PC VUE 2010 Table des matières 1. Introduction à la supervision- logiciel SCADA... 4 1.A. Définition d un logiciel SCADA /Supervision... 4 1.B. Ou trouve-t-on des

Plus en détail

SOMMAIRE. Présentation assistée sur ordinateur. Collège F.Rabelais 1/10

SOMMAIRE. Présentation assistée sur ordinateur. Collège F.Rabelais 1/10 /0 SOMMAIRE. Lancer le logiciel. Mettre un titre dans une diapositive et du texte. Ajouter une diapositive 4. Créer une diapositive avec une image 5. Créer une diapositive avec un graphique 6. Créer une

Plus en détail

Once the installation is complete, you can delete the temporary Zip files..

Once the installation is complete, you can delete the temporary Zip files.. Sommaire Installation... 2 After the download... 2 From a CD... 2 Access codes... 2 DirectX Compatibility... 2 Using the program... 2 Structure... 4 Lier une structure à une autre... 4 Personnaliser une

Plus en détail

Enregistreur sans papier. Interface LON. B 95.5010.2.1 Description des interfaces 10.99/00370156

Enregistreur sans papier. Interface LON. B 95.5010.2.1 Description des interfaces 10.99/00370156 Enregistreur sans papier Interface LON B 95.5010.2.1 Description des interfaces 10.99/00370156 Sommaire 1 Introduction 3 1.1 Préambule... 3 1.2 Conventions typographiques... 4 1.2.1 Avertissement... 4

Plus en détail

Manuel d utilisation 26 juin 2011. 1 Tâche à effectuer : écrire un algorithme 2

Manuel d utilisation 26 juin 2011. 1 Tâche à effectuer : écrire un algorithme 2 éducalgo Manuel d utilisation 26 juin 2011 Table des matières 1 Tâche à effectuer : écrire un algorithme 2 2 Comment écrire un algorithme? 3 2.1 Avec quoi écrit-on? Avec les boutons d écriture........

Plus en détail

Mini_guide_Isis_v6.doc le 10/02/2005 Page 1/15

Mini_guide_Isis_v6.doc le 10/02/2005 Page 1/15 1 Démarrer... 2 1.1 L écran Isis... 2 1.2 Les barres d outils... 3 1.2.1 Les outils d édition... 3 1.2.2 Les outils de sélection de mode... 4 1.2.3 Les outils d orientation... 4 2 Quelques actions... 5

Plus en détail

QUESTION 1 {2 points}

QUESTION 1 {2 points} ELE4301 Systèmes logiques II Page 1 de 8 QUESTION 1 {2 points} En se servant de paramètres électriques donnés dans le Tableau 1 ci-dessous, on désire déterminer la fréquence d opération du compteur présenté

Plus en détail

Vos outils CNED COPIES EN LIGNE GUIDE DE PRISE EN MAIN DU CORRECTEUR. www.cned.fr 8 CODA GA WB 01 13

Vos outils CNED COPIES EN LIGNE GUIDE DE PRISE EN MAIN DU CORRECTEUR. www.cned.fr 8 CODA GA WB 01 13 Vos outils CNED COPIES EN LIGNE GUIDE DE PRISE EN MAIN DU CORRECTEUR 8 CODA GA WB 01 13 www.cned.fr SOMMAIRE Qu est-ce que «CopiesEnLigne»?...2 1. ACCÉDER À «CopiesEnLigne»...3 2. VOTRE INTERFACE «CopiesEnLigne»...4

Plus en détail

ET 24 : Modèle de comportement d un système Introduction à Labview et initiation à la réalisation d un Instrument Virtuel (VI).

ET 24 : Modèle de comportement d un système Introduction à Labview et initiation à la réalisation d un Instrument Virtuel (VI). ET 24 : Modèle de comportement d un système Introduction à Labview et initiation à la réalisation d un Instrument Virtuel (VI). Sciences et Technologies de l Industrie et du Développement Durable Formation

Plus en détail

Bien commencer avec un LaunchPad MSP430G et un Breadboard

Bien commencer avec un LaunchPad MSP430G et un Breadboard Bien commencer avec un LaunchPad MSP430G et un Breadboard Pierre-Yves Rochat Le LaunchPad et Energia Texas Instrument propose un environnement de développement très complet pour ses MSP430, appelé Code

Plus en détail

Création du projet : 1 sur 13

Création du projet : 1 sur 13 Prise en main rapide de Vijéo Designer 5.0 Configuration de la communication API et pupitre sur Ethernet page1 API et pupitre sur Série page3 XBTGT1130 avec liaison ETHERNET API et PC Création du projet

Plus en détail

Créer une carte personnalisée

Créer une carte personnalisée Créer une carte personnalisée Avec Google Maps, vous pouvez créer vos propres cartes personnalisées pour indiquer par exemple les endroits que vous avez déjà visités, l'emplacement des restaurants où vous

Plus en détail

progecad NLM Guide de l'utilisateur

progecad NLM Guide de l'utilisateur progecad NLM Guide de l'utilisateur Rev. 11.1 Table des matières Table des matières...2 Introduction...3 Comment démarrer...3 Installation de progecad NLM server...3 Enregistrement de progecad NLM server...3

Plus en détail

Atelier C TIA Portal CTIA06 : programmation des automates S7-300 Blocs d organisation

Atelier C TIA Portal CTIA06 : programmation des automates S7-300 Blocs d organisation Atelier C TIA Portal CTIA06 : programmation des automates S7-300 Blocs d organisation CTIA06 Page 1 1. Types de blocs de programme L automate met à disposition différents types de blocs qui contiennent

Plus en détail

Insérer des images dans Base

Insérer des images dans Base Insérer des images dans Base Version 1.0 du 30.05.2006 Réalisé avec : OOo 2.0.2 Plate-forme / Os : Toutes Distribué par le projet fr.openoffice.org Sommaire 1 Présentation...3 2 Quelques notions initiales...3

Plus en détail

Millenium3 Atelier de programmation

Millenium3 Atelier de programmation Millenium3 Millenium 3 Millenium3 1. Aide en ligne CLSM3... 2 1.1 Présentation de l'atelier de programmation... 2 1.1.1 Présentation de l'atelier de programmation... 2 1.2 Comment débuter avec l'atelier

Plus en détail

Projet # 3 Serrure à deux clés

Projet # 3 Serrure à deux clés Département d électronique industrielle Projet # 3 Serrure à deux clés Semaines 8 et 9, 10 Session 1 Circuits logiques 243-206-RA Automne 2010 Tables des matières 1 OBJECTIFS DE L ACTIVITÉ... 3 1.1 COMPÉTENCES

Plus en détail

Bien travailler sur plusieurs écrans

Bien travailler sur plusieurs écrans Bien travailler sur plusieurs écrans Pour améliorer votre confort sur votre ordinateur et travailler plus efficacement, vous pouvez lui ajouter un second voire un troisième écran. En étendant la surface

Plus en détail

Comment Utiliser les Versions, les Modification, les Comparaisons, Dans les Documents

Comment Utiliser les Versions, les Modification, les Comparaisons, Dans les Documents Comment Utiliser les Versions, les Modification, les Comparaisons, Dans les Documents Diffusé par Le Projet Documentation OpenOffice.org Table des Matières 1. Les Versions...3 2. Les Modifications...5

Plus en détail

KM2 W1 EVC1 M3~ Manuel AUTOMSIM API 24V. BP Dcy 1MINI 1MAXI. www.irai.com

KM2 W1 EVC1 M3~ Manuel AUTOMSIM API 24V. BP Dcy 1MINI 1MAXI. www.irai.com L1 L2 L3 F1 M1 KM2 0V U1 V1 M3~ W1 EVC1 Manuel AUTOMSIM 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 API 24V 0 1 2 C1MINI BP Dcy C1MAXI 1MAXI 1MINI EVC1 www.irai.com INTRODUCTION... 5 INSTALLATION... 6 PRISE EN

Plus en détail

Table des Matières. Présentation... 1. Installation... 2 Mise en route... 4. Les Icones... 5 Les paramètres

Table des Matières. Présentation... 1. Installation... 2 Mise en route... 4. Les Icones... 5 Les paramètres Table des Matières Avant Propos Présentation... 1 Installation et Mise en Route Installation... 2 Mise en route... 4 Les Icones... 5 Les paramètres Configuration générale... 9 Tarifs/TVA... 10 Salariés...

Plus en détail

Système de Tableau Blanc Interactif Manuel d Utilisation

Système de Tableau Blanc Interactif Manuel d Utilisation Système de Tableau Blanc Interactif Manuel d Utilisation Table des Matières Ce qu il y a dans la Boîte 1 L Essentiel 1 Deux Manières de l Utiliser 2 Comment Ça Marche 3 Apprendre à le Connaître 4 L installer

Plus en détail

Dimensionnement d une roue autonome pour une implantation sur un fauteuil roulant

Dimensionnement d une roue autonome pour une implantation sur un fauteuil roulant Dimensionnement d une roue autonome pour une implantation sur un fauteuil roulant I Présentation I.1 La roue autonome Ez-Wheel SAS est une entreprise française de technologie innovante fondée en 2009.

Plus en détail

PRISE EN MAIN D UN TABLEUR. Version OPEN OFFICE

PRISE EN MAIN D UN TABLEUR. Version OPEN OFFICE PRISE EN MAIN D UN TABLEUR Version OPEN OFFICE Prise en main d un tableur page 2 1. L utilisation de la souris Pour faire fonctionner un tableur, on utilise le clavier mais aussi la souris. Rappelons,

Plus en détail

DOSSIER D'UTILISATION

DOSSIER D'UTILISATION DOSSIER D'UTILISATION SYSTEME MONITORING REDACTION VERIFICATION APPROBATION Stéphane CLERICI Marc LAFLUTTE André CHEMINADE ARCLAN'System Page 1 /17 Tel.04.42.97.70.75 Siège Social Fax.04.42.97.71.99 Actimart

Plus en détail

Prototypage électronique

Prototypage électronique Prototypage électronique C'est quoi Arduino? Enseignant d'électronique en BTS des Systèmes Électroniques au lycée Cabanis de Brive-la-Gaillarde, j'ai commencé en 2010 à entendre parler d'arduino à gauche

Plus en détail

Comment réaliser une capture d écran dans Word. Alors comment ouvrir une page Word?

Comment réaliser une capture d écran dans Word. Alors comment ouvrir une page Word? Comment réaliser une capture d écran dans Word Pour réaliser une capture d écran dans Word, l idéal est d ouvrir d abord la page Word. Mais on peut bien l ouvrir après la capture d écran. Alors comment

Plus en détail

Mise en route de Cobian Backup

Mise en route de Cobian Backup 1 Mise en route de Cobian Backup L installation est un peu longue mais vous n aurez à la faire qu une seule et unique fois. Après tout se déroulera sans aucune intervention de votre part. 1. Installation

Plus en détail

FANTEC HDD-Sneaker MANUEL D'UTILISATION. Comprend: Guide d'installation de materiel Disque dur Formatage manuel PCClone EX Guide d'installation

FANTEC HDD-Sneaker MANUEL D'UTILISATION. Comprend: Guide d'installation de materiel Disque dur Formatage manuel PCClone EX Guide d'installation FANTEC HDD-Sneaker MANUEL D'UTILISATION Comprend: Guide d'installation de materiel Disque dur Formatage manuel PCClone EX Guide d'installation Connexion de l'alimentation et le port USB 3.0: Bouton de

Plus en détail

Configuration APN internet Réseau 3G «Terminaux et tablettes sous Android» Configuration APN «internet» sur système Android :

Configuration APN internet Réseau 3G «Terminaux et tablettes sous Android» Configuration APN «internet» sur système Android : Configuration APN «internet» sur système Android : 1/ Terminaux sous Android A/Samsung Galaxy S4 Dans le menu principal cliquez sur l icône «Applications» pour accéder à l icône «Paramètres» Sur le volet

Plus en détail

Bureau Virtuel Lyon 2

Bureau Virtuel Lyon 2 Bureau Virtuel Lyon 2 Fonctions principales Le tableau de bord p 2 La messagerie p 2 Les groupes p 6 Les documents p 10 Les calendriers p 11 Les contacts p 12 Les sondages p 15 Le tableau de bord Le nouvel

Plus en détail

Table des matières. F. Saint-Germain / S. Carasco Document réalisé avec OpenOffice.org Page 1/13

Table des matières. F. Saint-Germain / S. Carasco Document réalisé avec OpenOffice.org Page 1/13 Voici un petit tutoriel d'utilisation du tableau numérique de la marque promethean. Ce tutoriel est loin d'être complet, il permet juste une première approche simple des outils de base du logiciel ACTIVstudio.

Plus en détail

Notice ARES Version 5.20 Française

Notice ARES Version 5.20 Française ARES -1/19 Notice ARES Version 5.20 Française Carlos Valente Technicien IUT LIMOGES Département Génie Electrique et informatique Industrielle 19100 Brive la gaillarde France. Page - 1/19 ARES -2/19 Routage

Plus en détail

F.A.Q 1.0 Designer 3D Atex System

F.A.Q 1.0 Designer 3D Atex System -Sommaire- 1-Comment installer le logiciel?...2 2-Comment créer un projet?...3 3-Comment ouvrir un projet?...4 4-Comment se déplacer en 2D & 3D?...5 5-Comment ajouter des composants?...6 1-Comment installer

Plus en détail

Avec PICASA. Partager ses photos. Avant de commencer. Picasa sur son ordinateur. Premier démarrage

Avec PICASA. Partager ses photos. Avant de commencer. Picasa sur son ordinateur. Premier démarrage Avant de commencer Picasa est avant un logiciel que l'on peut installer sur son ordinateur et qui permet de transférer ses photos dans un espace pouvant être partagé sur Internet. Picasa sur son ordinateur.

Plus en détail

Comment sauvegarder ses documents

Comment sauvegarder ses documents Comment sauvegarder ses documents Diffusé par Le Projet Documentation OpenOffice.org OpenOffice.org Documentation Project How-To Table des Matières 1. Préliminaires...3 2. Enregistrer un nouveau document...4

Plus en détail

Tutorial Terminal Server sous

Tutorial Terminal Server sous Tutorial Terminal Server sous réalisé par Olivier BOHER Adresse @mail : xenon33@free.fr Site Internet : http://xenon33.free.fr/ Tutorial version 1a Page 1 sur 1 Index 1. Installation des services Terminal

Plus en détail

Le langage C. Séance n 4

Le langage C. Séance n 4 Université Paris-Sud 11 Institut de Formation des Ingénieurs Remise à niveau INFORMATIQUE Année 2007-2008 Travaux pratiques d informatique Le langage C Séance n 4 But : Vous devez maîtriser à la fin de

Plus en détail

Sélection du contrôleur

Sélection du contrôleur Démo CoDeSys - 1 - 1. Configuration de l environnement de travail : Lancer le logiciel CoDeSys Fichier Nouveau Lors de la première utilisation, une boîte de dialogue apparaît permettant la sélection du

Plus en détail

Assistant d e tablissement de Tableaux

Assistant d e tablissement de Tableaux Assistant d e tablissement de Tableaux Cet outil est autonome et doit être installé sur votre ordinateur sous Windows. Cet outil n est pas parfait, il peut proposer des solutions non correctes, le tableau

Plus en détail

Utilisation du logiciel GALAAD

Utilisation du logiciel GALAAD 1 Sommaire: Présentation du logiciel GALAAD 1. Démarrer le programme........ 2. Présentation de l écran du logiciel....... Les barres d'outils, sauvegarder... 3. Créer un nouveau fichier........ 4. Préparer

Plus en détail

Comment consolider des données

Comment consolider des données Comment consolider des données Version 0.02 du 18.11.2004 Réalisé avec : OOo 1.1.3 Plate-forme / Os : Toutes Distribué par le projet fr.openoffice.org Sommaire 1 Introduction...3 2 Création des données...4

Plus en détail

Pluridisciplinarité. Classe de BTS DATR 1 -----------------------------------------------------------------------

Pluridisciplinarité. Classe de BTS DATR 1 ----------------------------------------------------------------------- Pluridisciplinarité Classe de BTS DATR 1 ----------------------------------------------------------------------- Module M53: Diagnostic de territoire cible dans le secteur des services. -----------------------------------------------------------------------

Plus en détail

Tapez le titre de la page «BASTIA ville méditerranéenne», puis allez deux fois à la ligne à l aide de la touche Entrée.

Tapez le titre de la page «BASTIA ville méditerranéenne», puis allez deux fois à la ligne à l aide de la touche Entrée. Créer un site Internet à l aide du logiciel NVU Le logiciel NVU, permet l édition Wysiwyg (What You See, Is What You Get, ce que vous voyez, est ce que vous obtenez ) d un site internet. Vous rédigez le

Plus en détail

TUTORIEL IMPRESS. Ouvrir Impress cocher «présentation vierge», «suivant» cocher «écran», «suivant» cocher «standard», «créer»

TUTORIEL IMPRESS. Ouvrir Impress cocher «présentation vierge», «suivant» cocher «écran», «suivant» cocher «standard», «créer» TUTORIEL IMPRESS Ouvrir Impress cocher «présentation vierge», «suivant» cocher «écran», «suivant» cocher «standard», «créer» Une page impress s'ouvre : Le volet gauche contiendra toutes les diapositives

Plus en détail

Service des ressources informatiques - Conseil Scolaire de District Catholique Centre-Sud Page 1

Service des ressources informatiques - Conseil Scolaire de District Catholique Centre-Sud Page 1 Service des ressources informatiques - Conseil Scolaire de District Catholique Centre-Sud Page 1 Table des matières 1. Accéder au gestionnaire de notes... 3 2. Sélectionner une classe... 4 3. Première

Plus en détail

Gérer les règles de prix catalogue sur Magento

Gérer les règles de prix catalogue sur Magento Gérer les règles de prix catalogue sur Magento Version utilisée pour l élaboration de ce guide : Magento 1.2.1.2 Rédigé par : Olivia Contexte Magento vous permet de gérer votre politique commerciale directement

Plus en détail

Installation et utilisation de Cobian Backup 8

Installation et utilisation de Cobian Backup 8 Installation et utilisation de Cobian Backup 8 Dernière mise à jour le 25/09/2007 Version utilisée : 8.4.0.198 Version du document : 1.0 Page 1 sur 12 SOMMAIRE 1. Téléchargement 2. Installation 3. Mise

Plus en détail

FileZilla. Sauvegarder son site Guppy à l aide de. Sommaire:

FileZilla. Sauvegarder son site Guppy à l aide de. Sommaire: FileZilla http://filezilla.sourceforge.net/ Sauvegarder son site Guppy à l aide de Sommaire: P. 2 Téléchargement et installation P. 3 Paramétrage du transfert P. 3 L'environnement de Filezilla P. Sauvegarde

Plus en détail

DATALOGGERS SEFRAM LOG1601 et LOG1620

DATALOGGERS SEFRAM LOG1601 et LOG1620 DATALOGGERS SEFRAM LOG1601 et LOG1620 Présentation des minis enregistreurs : Les minis enregistreurs SEFRAM LOG1601 et LOG1620 permettent d enregistrer des températures et un taux d humidité relative (LOG1620

Plus en détail

Galaxy est une plateforme de traitements (bio)informatiques accessible depuis l'url : (en précisant votre login et mot de passe LDAP «genotoul»).

Galaxy est une plateforme de traitements (bio)informatiques accessible depuis l'url : (en précisant votre login et mot de passe LDAP «genotoul»). Galaxy est une plateforme de traitements (bio)informatiques accessible depuis l'url : (en précisant votre login et mot de passe LDAP «genotoul»). http://galaxy-workbench.toulouse.inra.fr/ Quelque soit

Plus en détail

Guide d installation UNIVERSALIS 2014

Guide d installation UNIVERSALIS 2014 Guide d installation UNIVERSALIS 2014 (Windows) Nous vous recommandons de lire ce document avant de commencer l installation d UNIVERSALIS 2014 sur Windows. Vous y trouverez la description de la procédure

Plus en détail

Comment bien démarrer avec. NetAirClub GUIDE ADMINISTRATEUR V1.5. Table des matières

Comment bien démarrer avec. NetAirClub GUIDE ADMINISTRATEUR V1.5. Table des matières Comment bien démarrer avec NetAirClub GUIDE ADMINISTRATEUR V1.5 Table des matières 1 TELECHARGEMENT...3 2 PREMIERE CONNEXION...4 2.1 Paramétrage du temps d'inactivité...4 2.2 Création de votre fiche membre

Plus en détail

PROGRAMMATION EVENEMENTIELLE sur EXCEL

PROGRAMMATION EVENEMENTIELLE sur EXCEL MASTERs SMaRT & GSI PROGRAMMATION EVENEMENTIELLE sur EXCEL Pierre BONNET Programmation évènementielle La programmation évènementielle permet un appel de procédure depuis l'interface HMI d'excel (ou d'un

Plus en détail

Manuel de l utilisateur. Soft-phone - Client VoIP 3CX Version 6.0

Manuel de l utilisateur. Soft-phone - Client VoIP 3CX Version 6.0 Manuel de l utilisateur Soft-phone - Client VoIP 3CX Version 6.0 Copyright 2006-2008, 3CX Ltd. http:// E-mail: info@3cx.com Les informations contenues dans ce document sont sujettes à modifications sans

Plus en détail

Créer et gérer des catégories sur votre site Magento

Créer et gérer des catégories sur votre site Magento Créer et gérer des catégories sur votre site Magento Version utilisée pour l élaboration de ce guide : Magento 1.3.0 Rédigé par : Olivia Contexte Pour créer un site sous Magento, vous allez être obligé

Plus en détail

GUIDE UTILISATEUR SYSTEMES CCTV

GUIDE UTILISATEUR SYSTEMES CCTV GUIDE UTILISATEUR SYSTEMES CCTV 2SECURE 3 chemin des mules 13124 PEYPIN www.2secure.fr - 1 - SOMMAIRE : 1 ACCEDER / SORTIR D UN MENU :...3 2 VISUALISER UN ENREGISTREMENT SUR LE DVR :...3 3 SAUVEGARDER

Plus en détail

Réglages du module de sauvegarde de Biblionet (Monoposte)

Réglages du module de sauvegarde de Biblionet (Monoposte) Réglages du module de sauvegarde de Biblionet (Monoposte) 1- Dans le menu fichier choisissez Préférences et par la suite l onglet Sauvegarde, la fenêtre suivante devrait apparaître: 2- Cliquez sur le bouton

Plus en détail

TD de supervision. J.P. Chemla. Polytech Tours Département productique 2ème année

TD de supervision. J.P. Chemla. Polytech Tours Département productique 2ème année TD de supervision J.P. Chemla Polytech Tours Département productique 2ème année 1 Présentation de l équipement On veut superviser une cuve dans un batiment. Les informations à visualiser sont les suivantes

Plus en détail

Leçon N 5 PICASA Généralités

Leçon N 5 PICASA Généralités Leçon N 5 PICASA Généralités Avec cette leçon N 5, nous allons commencer l étude du traitement de vos photos avec le logiciel de GOOGLE, PICASA, que vous avez téléchargé dans la leçon N 3. 1 Présentation

Plus en détail

FONCTION COMPTAGE BINAIRE ET DIVISION DE FRÉQUENCE

FONCTION COMPTAGE BINAIRE ET DIVISION DE FRÉQUENCE I/ GÉNÉRALITÉS I.1/ Fonction Un compteur binaire est utilisé : -pour compter un certain nombre d'évènements binaires -pour diviser la fréquence d'un signal logique par 2 m Page 1 FONCTION COMPTAGE BINAIRE

Plus en détail

Manuel utilisateur Portail SAP

Manuel utilisateur Portail SAP Manuel utilisateur Portail SAP Procédures demande d achats Manuel Utilisateur SmileySup - Portail SAP v1.0 1/31 1. Table des matières 1. Table des matières... 2 2. Introduction... 3 3. Vue processus...

Plus en détail

PROCÉDURE D'INSTALLATION WINDOWS 7 (32 ou 64 bit)

PROCÉDURE D'INSTALLATION WINDOWS 7 (32 ou 64 bit) PROCÉDURE D'INSTALLATION WINDOWS 7 (32 ou 64 bit) DÉTERMINER VOTRE VERSION DE WINDOWS 7 1. Cliquez sur Démarrer puis sur Panneau de configuration 2. Cliquez sur Système et sécurité. 3. Sur la page Système

Plus en détail

Créer un album photo

Créer un album photo Créer un album photo Pourquoi créer un album photo? Un album photo, contrairement à vos dossiers photo, n a pas d existence propre sur votre disque dur, ce n est qu une liste de référence pointant vers

Plus en détail

Guide pour la réalisation d'un document avec Open Office Writer 2.2

Guide pour la réalisation d'un document avec Open Office Writer 2.2 Guide pour la réalisation d'un document avec Open Office Writer 2.2 1- Lancement de l'application : Le Traitement de textes de la Suite OpenOffice peut être lancé : soit depuis le menu «Démarrer / Programmes/OpenOffice2.2/Writer

Plus en détail

Microsoft Windows NT Server

Microsoft Windows NT Server Microsoft Windows NT Server Sommaire : INSTALLATION DE WINDOWS NT SERVER... 2 WINNT.EXE OU WINNT32.EXE... 2 PARTITION... 2 FAT OU NTFS... 2 TYPE DE SERVEUR... 2 Contrôleur principal de Domaine (CPD)....

Plus en détail

Espace pro. Installation des composants avec Firefox. Pour. Windows XP Vista en 32 et 64 bits Windows 7 en 32 et 64 bits

Espace pro. Installation des composants avec Firefox. Pour. Windows XP Vista en 32 et 64 bits Windows 7 en 32 et 64 bits Espace pro Installation des composants avec Firefox Pour Windows XP Vista en 32 et 64 bits Windows 7 en 32 et 64 bits Version 2.0.3 1 Sommaire 1. Installation du composant de lecture de la carte Vitale

Plus en détail

ALICEBOX. Guide d installation ADSL + TÉLÉPHONE + TV NUMERIQUE. Découvrir ma télécommande 12 TÉLÉCOMMANDE. Accès au médiacenter

ALICEBOX. Guide d installation ADSL + TÉLÉPHONE + TV NUMERIQUE. Découvrir ma télécommande 12 TÉLÉCOMMANDE. Accès au médiacenter Découvrir ma télécommande Activer/désactiver la connexion du décodeur au téléviseur Fin Enregistrement Play / Pause Revenir en arrière ou sortie Navigation Accès au médiacenter Allumer / Mettre en veille

Plus en détail

Gestion du parc informatique des collèges du département du Cher. Manuel d utilisation de la solution de gestion de Parc

Gestion du parc informatique des collèges du département du Cher. Manuel d utilisation de la solution de gestion de Parc Gestion du parc informatique des collèges du département du Cher Manuel d utilisation de la solution de gestion de Parc Table des matières 1. Préambule... 3 2. Pré requis... 3 3. Objectifs... 3 4. Connexion

Plus en détail

CONVERTISSEURS NA ET AN

CONVERTISSEURS NA ET AN Convertisseurs numériques analogiques (xo Convertisseurs.doc) 1 CONVTIU NA T AN NOT PLIMINAI: Tous les résultats seront exprimés sous formes littérales et encadrées avant les applications numériques. Les

Plus en détail

VM Card. Manuel des paramètres des fonctions étendues pour le Web. Manuel utilisateur

VM Card. Manuel des paramètres des fonctions étendues pour le Web. Manuel utilisateur VM Card Manuel utilisateur Manuel des paramètres des fonctions étendues pour le Web 1 Introduction 2 Écrans 3 Paramètres de démarrage 4 Info fonctions avancées 5 Installer 6 Désinstaller 7 Outils administrateur

Plus en détail