ELE Circuit logique 1 Laboratoire n o 1 : Introduction à la carte DE2

Documents pareils
SIN-FPGA DESCRIPTION PAR SCHEMA

Introduction à Eclipse

Manuel d utilisation du logiciel Signexpert Paraph

Guide de l utilisateur. Faites connaissance avec la nouvelle plateforme interactive de

Guide d installation de MySQL

WinTask x64 Le Planificateur de tâches sous Windows 7 64 bits, Windows 8/ bits, Windows 2008 R2 et Windows bits

1. Aménagements technologiques 2. Installation de Microsoft SQL Server 2012

Espace pro. Installation des composants avec Firefox. Pour. Windows XP Vista en 32 et 64 bits Windows 7 en 32 et 64 bits

Installation et compilation de gnurbs sous Windows

1 ) INSTALLATION DE LA CONSOLE 2 2 ) PREMIER DÉMARRAGE DE LA CONSOLE 3 3 ) LES JOBS 4 4 ) LES ORDINATEURS 6

Signature électronique sécurisée. Manuel d installation

GUIDE D INSTALLATION DES DRIVERS

Manuel utilisateur Netviewer one2one

Guide d installation des licences Solid Edge-NB RB

OUTIL DE CRYPTAGE ET DE COMPRESSION

Le langage C. Séance n 4

Consignes générales :

Installation ou mise à jour du logiciel système Fiery

Mise en route de Cobian Backup

Notice de fonctionnement DVR H Méthode de Visionnage ESEENET

GUIDE Excel (version débutante) Version 2013

Sauvegarder sa messagerie Outlook 2010

Eclipse atelier Java

Placez vous au préalable à l endroit voulu dans l arborescence avant de cliquer sur l icône Nouveau Répertoire

Projet audio. Analyse des Signaux ELE2700

Groupe Eyrolles, 2003, ISBN : X

INSTRUCTIONS D INSTALLATION SOUS WINDOWS 7 / WINDOWS VISTA / WINDOWS XP

La Clé informatique. Formation Excel XP Aide-mémoire

GESTION DU LOGO. 1. Comment gérer votre logo? Format de l image Dimensions de l image Taille de l image 9

PROCÉDURE D'INSTALLATION WINDOWS 7 (32 ou 64 bit)

Mise en route et support Envision 10 SQL server (Avril 2015) A l'intention de l'administrateur SQL Server et de l administrateur Envision

WebSpy Analyzer Giga 2.1 Guide de démarrage

RECOPLUS LOGICIEL DE GESTION DES RECOMMANDES NOTICE D UTILISATION DE RECOPLUS RESEAU. N de série

Super HUD- Guide Utilisateur

La base de données dans ArtemiS SUITE

Cliquez sur le site que vous souhaitez consulter, il s affichera directement dans le navigateur.

Réalisez votre propre carte de vœux Éléctronique

Ce document décrit la démarche à suivre pour installer les outils de développement et compiler le projet TANAGRA.

GUIDE UTILISATEUR SYSTEMES CCTV

Ladibug TM 2.0 Logiciel de présentation visuel d'image Manuel de l utilisateur - Français

Manuel d installation et d utilisation du logiciel GigaRunner

FORMATION MULTIMÉDIA LVE

MANUEL UTILISATEUR SOPISAFE V 3.5

Guide de l utilisateur Mikogo Version Windows

sommaire Archives... Archiver votre messagerie... Les notes... Les règles de messagerie... Les calendriers partagés Les listes de diffusions...

Utilisation de GCM (Google Cloud Messaging) pour Android. Partie préliminaire éventuelle : Création d'un AVD lisant GCM

KM2 W1 EVC1 M3~ Manuel AUTOMSIM API 24V. BP Dcy 1MINI 1MAXI.

GUIDE D UTILISATION. Informations importantes Toshiba FOLIO 100 Conseils pour une première utilisation, configuration et principaux usages

Manuel d utilisation du module Liste de cadeaux PRO par Alize Web

Smart Pix SOFTWARE. Manuel d utilisation

Sélection du contrôleur

Leçon N 5 PICASA Généralités

Guide de démarrage IKEY 2032 / Vigifoncia

HDDtoGO. Guide de l'utilisateur

Rapports d activités et financiers par Internet. Manuel Utilisateur

Universalis Guide d installation. Sommaire

Réglages du module de sauvegarde de Biblionet (Monoposte)

GUIDE D INSTALLATION RAPIDE DEXH264

Ecran principal à l ouverture du logiciel

FlashWizard v4.5b PRO

P E U G E O T A L E R T Z O N E S Y S T E M E D E N A V I GAT I O N S U R T A B L E T T E T A C T I L E

Manuel d utilisation de la messagerie.

Centres d accès communautaire Internet des Îles LA CLÉ USB

COMPTAPL V2 sur clé USB 2

TP Blender n 2 : Importation d un modèle SketchUp et animation

ALLIANZ MODE OPERATOIRE DE MIGRATION D UNE AGENCE WINDOWS Août Version du document : 010

V 8.2. Vous allez utiliser les services en ligne de la plate forme de dématérialisation de la Salle des Marchés achatpublic.com.

Table des matières...2 Introduction...4 Terminologie...4

wxwidgets dans un environnement Microsoft Windows

Rapport financier électronique

Utilisation d une tablette numérique

Once the installation is complete, you can delete the temporary Zip files..

Guide d installation CLX.PayMaker Office (3PC)

JULIE SMS V2.0.1 NOTICE D INSTALLATION ET D UTILISATION

Création du projet : 1 sur 13

Initiation à Mendeley AUT2012

Guide d installation du logiciel HI Version 2.7. Guide d installation du logiciel HI Version 9.4

ENVOI EN NOMBRE DE MESSAGES AUDIO

Description pas à pas des différents processus d installation, configuration, saisie des résultats et export des données.

À propos de cette page Recommandations pour le mot de passe... 26

Connected to the FP World

HEITZ X Pro GESTION CLIENTÈLE

FAQ L&TT Version avec interface graphique pour Windows

Utilisez Toucan portable pour vos sauvegardes

Guide d installation UNIVERSALIS 2014

< Atelier 1 /> Démarrer une application web

CAISSE. Ce logiciel nécessite une licence pour fonctionner.

La Clé informatique. Formation Internet Explorer Aide-mémoire

Service On Line : Gestion des Incidents

Utilisation de l éditeur.

ScoopFone. Prise en main rapide

Access 2007 FF Access FR FR Base

Organiser vos documents Windows XP

Installation et utilisation du client FirstClass 11

EXTRACTION ET RÉINTÉGRATION DE COMPTA COALA DE LA PME VERS LE CABINET

COURS WINDEV NUMERO 3

Instructions relatives à l installation et à la suppression des pilotes d imprimante PostScript et PCL sous Windows, version 8

Fiche Pratique. Présentation du problème. Le cas le plus simple. Un cas plus compliqué. MAJ le 15/12/2011

Utilisation de l outil lié à MBKSTR 9

NAVIGATION SUR INTERNET EXPLORER

Transcription:

ELE1300 - Circuit logique 1 Laboratoire n o 1 : Introduction à la carte DE2 Jean Pierre DAVID 1 Information sur le plagiat De plus en plus d information est disponible par voie électronique (ou autre) et il est parfois tentant d utiliser un travail réalisé par quelqu un de compétent, quelqu un qui a compris, lui, plutôt que de faire l effort de comprendre soi-même. Ces laboratoires sont destinés à vous aider à comprendre la matière en vous confrontant à des problèmes qui vous feront réfléchir, poser des questions, chercher de l information dans le cours ou ailleurs. Leur but est avant tout de vous former plutôt que de vous évaluer même si 20% de la note finale leur est consacrée. Si vous prenez le temps de résoudre les problèmes par vous-même, vous vous assurez les 20% des laboratoires mais aussi une bonne partie des 80% restant car l évaluation finale de ce cours repose essentiellement sur la compréhension des circuits logiques et la résolution des problèmes qui y font appel. Soyez donc compétents par vous-même et soyez fiers ensuite de réussir par vous-même. Par ailleurs, certains plagiats sont détectables et conduisent au minimum à l annulation du laboratoire, avec une note au dossier du ou des étudiants impliqués. Cela ne vaut pas la peine! Quand vous serez sur le marché de l emploi, vous ne pourrez compter que sur votre propre expertise car vous aurez à résoudre des problèmes dont les solutions n existent nulle part sur Internet ou ailleurs. Prenez tout de suite le bon réflexe : celui d apprendre et de comprendre par vous-même. Ceci dit, je vous encourage à utiliser toute information extérieure qui peut vous aider à comprendre la matière, mais ne choisissez jamais la voie de la médiocrité qui consiste à recopier sans comprendre. Votre ambition est de devenir ingénieur(e)! 1

2 Introduction Au cours de ce laboratoire, vous apprendrez à programmer la carte DE2 c-à-d à implanter un circuit logique sur celle-ci. Vous apprendrez la base de l environnement graphique du programme Quartus II, le compilateur pour le FPGA soudé sur la carte. Ce programme vous permet de faire la conception de votre circuit de façon schématique et de le charger ensuite sur la carte. 3 Préparation du laboratoire Lire tout le document. Comprendre le fonctionnement des portes logiques de base : OR, AND, XOR, NOR, NAND, XNOR et préparer les 16 circuits logiques qui sont demandés dans la section 5. Remarque : il existe de nombreuses manières différentes d implémenter ces fonctions. En cas de difficulté, utilisez les outils de simulation de circuits logiques disponibles à partir du site du cours. 4 Utilisation du logiciel Quartus II 4.1 Ouverture de Quartus II Pour ouvrir le programme, allez dans le menu Démarrer et choisissez : P rogramme Altera Quartus II 11.0 Quartus II 11.0 (32 bits). Une fenêtre vous demande de créer un nouveau projet, fermez celle-ci (croix en haut à droite). 4.2 Espace de travail La fenêtre de Quartus II devrait être vierge, car il n y a pas de projet ouvert (Figure 1). L espace de travail comporte six parties importantes : 1. La fenêtre schématique où les circuits seront dessinés. 2. Le navigateur de projet permet de voir les fichiers, les projets et leur hiérarchie. 3. La fenêtre de statut vous permet de connaître l état d avancement de la compilation de votre circuit. 4. La fenêtre de message vous avertit des erreurs et des avertissements durant la compilation ou la programmation. 5. La barre d outils avec laquelle vous pourrez compiler vos circuits, les simuler et les implémenter sur la carte DE2. 6. La barre des menus. Page 2 sur 13

Figure 1 Fenêtre d accueil de Quartus II 4.3 Création d un nouveau projet Pour pouvoir utiliser l espace de travail, il faut se créer un projet. Pour cela, sélectionnez : F ile New P roject W izard Passez la première fenêtre ; dans la seconde indiquez dans quel dossier vous voulez créer le projet. Quartus II ne créera pas un dossier pour contenir le laboratoire, donc créez-le. Ensuite, vous devrez écrire le nom de votre projet et mettre le même nom pour l entité qui englobe les autres (la plus haute du projet). Pour ce projet, utilisez le nom lab1. Dans la troisième page, il vous est possible d ajouter des fichiers au projet, si vous voulez en réutiliser. Pour l instant, nous n utiliserons pas cette fonctionnalité. Dans la quatrième page, vous devrez spécifier le FPGA que vous utiliserez pour le projet. Dans le menu déroulant Family, vous choisissez Cyclone II. Dans la liste Available Devices sélectionnez le modèle du FPGA EP2C35F672C6. Dans la cinquième page, spécifiez le logiciel de simulation ModelSim- Altera depuis le menu déroulant, et assurez-vous que le format VHDL est sélectionné. Ne cochez pas l option Run gate-level simulation automatically after compilation. Laissez les autres outils et formats à leurs valeurs par défaut (<None>). Vous pouvez à présent cliquer sur Finish. Page 3 sur 13

Pour que le logiciel puisse identifier comment chaque broche du FPGA a été connectée sur la carte de développement, il faut inclure le fichier DE2 pins assignments.csv. Pour cela, sélectionnez : Assignments Import Assignments Ensuite, indiquez le fichier DE2 pins.csv qui vous a été fournis. Les broches (pins) qui ne seront pas utilisées dans votre montage devront être placées en mode Tri-state. Au lieu de les modifier une par une, nous allons le préciser au programme afin qu il le fasse de manière automatique. Allez dans le menu Assignments Device. Cliquez le bouton Device & Pin Options, choisissez l onglet Unused Pins et sélectionnez As input tri-stated. Vous pouvez à présent concevoir votre premier circuit. Il vous faut pour cela créer un nouveau fichier pour l y dessiner avec F ile N ew. Dans l onglet Design Files, choisissez Block Diagram/Schematic File. Il est maintenant possible de dessiner le circuit voulu. 4.4 Présentation de la carte DE2 Quand vous voudrez utiliser la carte, il vous faudra d abord vérifier que l alimentation est bien branchée et que la carte s allume en pesant le bouton de démarrage (rouge, Figure 2). Dans le bas de la carte, il y a 18 boutons de type Switch et 4 boutons poussoir, nous les utiliserons tout au long de la session. Pour programmer la carte, vous devrez brancher le port USB dans la prise USB Blaster Port en haut à gauche à côté de la prise d alimentation. La documentation pour la carte DE2 peut être trouvée dans le répertoire Q :\DE\DE2 user manual\de2 user manual.pdf 4.5 Barre d outils Dans la barre d outils schématique (en haut ou à gauche, Figure 3), vous pouvez voir la flèche qui sert à sélectionner les objets. Le Symbol Tool vous permet de choisir l élément que vous voulez insérer dans le circuit tel qu une porte logique, une entrée/sortie ou une macro-fonction. Le Orthogonal Node Tool vous permet de dessiner les connexions entre vos portes logiques. Le Orthogonal Bus Tool vous permet de dessiner un bus (groupe de fils). Lorsque l option Use Rubberbanding est activée, les fils sont soudés lorsque mis en contact et ils restent reliés (aux portes notamment) ensembles lorsque des déplacements sont appliqués aux composants du circuit (évitez donc de la désactiver). Dans la barre d outils (en haut, Figure 3), il y a les boutons classiques de sauvegarde et d ouverture de fichier. Le bouton Simulate vous permettra de simuler le circuit de votre schéma de façon fonctionnelle ou en considérant les temps de propagation des signaux. Le bouton Start Compilation vous servira à compiler votre circuit pour créer un fichier de programmation du FPGA. Le bouton Programmer programmera le DE2 avec le fichier que vous aurez sélectionné. Page 4 sur 13

Figure 2 La carte DE2 Figure 3 Barre d outils et barre d outils schématique Page 5 sur 13

4.6 Conception d un circuit ELE1300 : Laboratoire n o 1 Après avoir ouvert votre projet, vous pouvez commencer à concevoir votre circuit. En choisissant Symbol Tool, vous verrez une fenêtre apparaître. Cliquez sur le dossier C :/altera/.../librairies/ dans la section librairies, à droite. Choisissez primitive et vous aurez accès à tous les éléments logiques de base. Le dossier Logic contient toutes les portes logiques and, or, nand etc. utiles pour le cours. Le dossier pin contient, lui, les entrées et sorties que vous pouvez utiliser conformément au fichier d assignation que nous avons ajouté au projet plus tôt. Le dossier storage contient les différentes bascules que vous verrez plus tard dans le cours. Sélectionnez le composant dont vous avez besoin et cliquez sur OK. Vous verrez que l objet sélectionné est attaché à votre curseur. À chaque fois que vous cliquerez, il placera une instance du composant à l endroit où il est situé. Pour ne plus placer d objet, cliquez sur la flèche dans la barre d outils à gauche de la fenêtre schématique ou pesez sur escape. Pour nommer un composant, double-cliquez sur celui-ci et entrez le nom désiré. Pour les entrées et sorties, il est important de les nommer selon l assignation que nous avons indiqué. Pour les switchs le nom est SW[i], où i représente le numéro du bouton sur la carte. Les boutons poussoir, les DELs rouges et les DEL vertes sont à nommer respectivement KEY[i], LEDR[i] et LEDG[i]. Pour vous aider, nous allons réaliser un premier circuit. En utilisant deux switchs comme entrée, affichez le résultat ( 1 ou 0 ) des portes OR, AND et XOR. Avec les switchs SW[0] et SW[1] ( 0 si elle est abaissée et 1 si elle est levée) affichez le résultat de chacune des trois portes de base avec les DELs suivantes : OR LEDR[0] AND LEDR[1] XOR LEDR[2]. Commencez par aller chercher les portes logiques dont vous avez besoin ainsi que vos entrées et sorties (Figure 4). Remarque : le chiffre qui suit le nom de la porte logique indique le nombre d entrées de la porte. Il vous faut ensuite connecter les éléments ensembles. Utilisez l outil Orthogonal Node Tool pour effectuer la fonction logique (Figure 5). Enfin, nommez les entrées/sorties en utilisant les noms définis dans le fichier utilisé pour l assignation des broches (DE2 pins assignments.csv). De cette façon, Quartus II fera la juste correspondance entre le schéma et les connexions physiques sur la carte. Vous changerez les noms en double-cliquant sur l entrée ou la sortie (Figure 6). Une fois votre circuit terminé (Figure 7), sauvegardez votre fichier sous le même nom que votre projet ( lab1 ) puis compilez (Figure 3). Page 6 sur 13

Figure 4 Portes et entrées/sorties Figure 5 En haut : les portes en train d être connectées, en bas : les connexions terminées Figure 6 Propriétés des entrées et des sorties Page 7 sur 13

Figure 7 Circuit complété 4.7 Compilation Pour compiler, pesez sur le bouton Start Compilation (Figure 3). Vous pouvez voir la progression de la tâche dans la fenêtre Status. Pour un petit projet tel que celui-ci, la compilation ne devrait pas prendre plus de 30 secondes. Au cours de la compilation, de nombreux messages seront affichés et, à la fin du processus, une fenêtre vous indiquera s il y a des erreurs dans votre circuit. Si tel est le cas, vous pouvez vous référer aux alertes dans la fenêtre des messages en bas de l écran. Si vous n avez que des avertissements, n en tenez pas compte. Quand la compilation sera terminée, vous pouvez voir un résumé de la compilation de votre projet sur un nouvel onglet. Si vous voulez revenir au schéma, cliquez sur l onglet lab1.bdf en haut de la fenêtre schématique, juste sous la barre d outils. 4.8 Simulation La simulation d un circuit logique reproduit le comportement de ce dernier au sein d un environnement contrôlé, fréquemment dénoté banc d essai. Typiquement, il est plus facile de vérifier le fonctionnement d un circuit dans un environnement contrôlé, et d autre part, la compilation requise pour réaliser une simulation requiert typiquement moins de temps que celle requise pour réaliser un circuit physique. Dans un premier temps, il faut spécifier à Quartus II l emplacement de l outils de simulation Modelsim-Altera. Pour ce faire, faites T ools Options..., puis dans la catégorie General/EDA Tools Options tappez C : \Altera\11.0\modelsim ase\win32aloem (l option parcourir est inutilisable puisque la lecture du disque C est bloquée). 4.8.1 Création d une description VHDL du circuit L outil ModelSim permet la simulation de circuits décrits en langages VHDL/Verilog. Afin de simuler un circuit décrit schématiquement, l outil Quartus II permet la conversion automatique vers une description VHDL. Pour ce faire, en vous assurant que le focus est Page 8 sur 13

placé sur le fichier lab1.bdf puis allez dans : F ile Create/Update Create HDL Design F ile from Current F ile... Dans la fenêtre qui apparait, assurez-vous que l emplacement du fichier à créer est correct (répertoire du projet), et que le langage VHDL est sélectionné, puis validez. Nous avons maintenant une description VHDL ( lab1.vhd ) ainsi qu une description schématique ( lab1.bdf ) d un même circuit dans le répertoire de projet. Toutefois, un projet Quartus II ne peut contenir qu une seule description d une même entité (circuit). Il faut donc inclure le fichier lab1.vhd et exclure lab1.bdf du projet. Pour retirer le fichier lab1.bdf du projet, dans l onglet File du navigateur de projet, faites un clic droit sur le fichier lab1.bdf et choisissez l option Remove File from Project du menu contextuel. Pour ajouter le fichier lab1.vhd au projet, dans le menu faites : P roject Add/Remove F iles in P roject.... Puis dans le champ de saisie File name, indiquez lab1.vhd ou allez chercher le fichier en utilisant le bouton..., puis assurez-vous d appuyer le bouton add avant de faire Ok dans la fenêtre de Settings. Le fichier lab1.vhd devrait ensuite être présent dans la liste de fichiers du projet. Faites un clic droit dessus pour spécifier que ce fichier correspond à l entité de haut niveau au moyen de l option Set as Top-Level Entity. Relancez la compilation dans Quartus II. 4.8.2 Description du banc de test On peut maintenant inclure le banc de tests qui est également décrit en langage VHDL dans le projet Quartus II. Ce fichier se nomme tb lab1.vhd. Copiez-le dans le répertoire puis ajoutez-le au projet. Une fois ajouté, ouvrez-le, et portez attention à la section représenté par la Figure 8, décrivant les stimulations d entrées par le banc de test. Cette séquence aura pour effet de stimuler le circuit avec toutes les combinaisons possibles des entrées SW(0) et SW(1), pendant une durée de 10 ns chacune. 4.8.3 Spécification du banc de test Afin de spécifier le fichier de banc de test au logiciel Quartus II, allez dans Assignments Settings... Dans la catégorie EDA Tool Settings, sélectionnez la sous-catégorie Simulation. Dans NativeLink settings cochez Compile test bench puis cliquez sur Test Benches. Dans la fenêtre qui s ouvre appuyez sur New... pour spécifier un banc de test. Reproduisez la configuration illustrée à la Figure 9, en n oubliant pas d ajouter le fichier tb lab1.vhd avec le bouton Add de la fenêtre. Page 9 sur 13

1 -- *** Debut de stimulation des entrees *** -- 2 SW(0) <= 0 ; 3 SW(1) <= 0 ; 4 wait for 10 ns; 5 6 SW(0) <= 1 ; 7 SW(1) <= 1 ; 8 wait for 10 ns; 9 10 SW(0) <= 1 ; 11 SW(1) <= 0 ; 12 wait for 10 ns; 13 14 SW(0) <= 0 ; 15 SW(1) <= 1 ; 16 wait for 10 ns; 17 -- *** Fin de stimulation des entrees *** -- Figure 8 Segment de code du banc de test Figure 9 Spécification du banc d essai à utiliser pour la simulation Page 10 sur 13

Figure 10 Résultats de simulation RTL sous ModelSim-Altera 4.8.4 Lancement de la simulation Il est possible de lancer 3 différents types de simulations avec ModelSim-Altera depuis Quartus II. Le premier type de simulation est dit de type RTL (ou fonctionnelle), tandis que les deux autres sont des simulations au niveau des portes logiques. Il vous sera demandé d identifier ce qui différencie ces types de simulations. Commencez par lancer une simulation fonctionnelle : T ools Run EDA Simulation T ool EDA RT L Simulation... Le logiciel ModelSim-Altera sera lancé automatiquement, et les résultats de simulation (chronogrammes) devraient être visibles dans la fenêtre sur fond noir, illustrée à la Figure 10. Pour ajuster la vue du chronogramme, cliquez dans l espace noir et appuyez sur la touche F du clavier. Lorsque vous avez terminé, assurez-vous de fermer ModelSim-Altera avant de lancer une nouvelle simulation, sans quoi une erreur se produira depuis l environnement Quartus II. Lancez ensuite les deux types de simulations au niveau des portes et choisissez ensuite l une ou l autre des deux options Slow Model ou Fast Model : T ools RunEDA Simulation T ool EDA Gate Level Simulation... Assurez-vous d avoir bien compris la fonction de ces différentes simulations! Page 11 sur 13

4.9 Programmation de la carte DE2 Vous êtes maintenant prêt à programmer la carte. Assurez-vous qu elle soit bien allumée avant de commencer, sinon Quartus II n effectuera rien et vous verrez deux messages d erreurs dans la fenêtre de message. De plus, vérifiez que le bouton à gauche de l écran LCD de la DE2 est en position Run. Cliquez sur le bouton Programmer (Figure 3), Quartus II vous ouvrira alors un nouvel onglet. Si vous ne voyez pas le fichier lab1.sof, cliquez sur le bouton Add File... et allez dans le répertoire du projet dans lequel vous travaillez. Choisissez le fichier lab1.sof. Vous activerez la programmation en cochant la case program/configure. Cliquez ensuite sur le bouton Start pour lancer la configuration de votre DE2. Vous pouvez voir l avancement de la programmation en regardant la barre de progression en haut à droite. Quand il est à 100 %, vous pouvez utiliser votre carte. Dans d autres laboratoires, vous aurez à utiliser des fichiers.sof venant de l extérieur. Vous pourrez les ajouter de la même façon. Si le bouton Start n est pas sélectionnable, il se peut que le port USB ne soit plus détecté. Débranchez-le de la carte et rebranchez-le à nouveau. Ensuite, cliquez sur le bouton Hardware Setup... au dessus du bouton Start. Dans l onglet Hardware Settings, vous devriez voir dans la section Available Hardware items le USB-Blaster. Sélectionnez-le et fermez la fenêtre. Le bouton Start devrait alors être disponible. Vérifiez que le circuit effectue ce qui est attendu de lui. Sinon, debuggez -le! Page 12 sur 13

5 Laboratoire Combien de fonctions à deux entrées différentes existe-t-il? Deux entrées, cela signifie qu il y a quatre combinaisons possibles des valeurs d entrée (00 01 10 11). Pour chaque combinaison d entrée, on peut avoir une sortie qui vaut 1 ou 0. Cela donne donc 16 tables de vérité possibles... Implémentez les 16 tables de vérité et affichez-les avec les DELs rouges. Si la porte logique correspondante à une table de vérité existe, vous pouvez l utiliser directement. Sinon vous devrez utiliser plusieurs portes. Voir le tableau des affectations (Table 1). Switch DEL rouge SW[1] SW[0] 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 0 0 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1 1 0 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1 1 1 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 Table 1 Table de vérité de chaque DEL rouge On utilisera les DELs vertes pour afficher l état de chacune des entrées. Repérez les fonctions logiques suivantes : XNOR NAND NOR. On vous demande d implémenter le circuit résultant dans un (seul) nouveau fichier schématique que vous nommerez lab1 partie2.bdf. Une fois le fichier ajouté au projet, n oubliez pas d indiquer à Quartus II que ce fichier doit maintenant être pris comme étant l entité hiérarchique de haut-niveau lors de la compilation avec l option Set as Top-Level Entity. Vérifiez bien votre circuit puis appelez le chargé de laboratoire pour faire valider votre implémentation! 6 Remise 1. Faite un zip de votre projet (clic-droit sur le dossier envoyer vers... dossier compressé). 2. Renommez-le lab1 groupexx YYYYYYY ZZZZZZZ.zip avec XX le numéro de votre groupe/binôme et YYYYYYY - ZZZZZZZ représentant vos matricules. 3. Déposez le tout dans le répertoire archive. Attention, une fois déposé, vous n y avez plus accès et vous ne pouvez plus le modifier ou l écraser. Si vous deviez soumettre un nouveau fichier zip, rajoutez une indication dans le nom du fichier ( v2 ou vfinale, par exemple). Page 13 sur 13