Tutorial: Synthèse logique et vérification avec Design Compiler et ModelSim

Dimension: px
Commencer à balayer dès la page:

Download "Tutorial: Synthèse logique et vérification avec Design Compiler et ModelSim"

Transcription

1 Tutorial: Synthèse logique et vérification avec Design Compiler et ModelSim Camille Leroux 1 Introduction Ce tutorial a pour objectif l apprentissage des outils de conception Design Compiler (Synopsys) et ModelSim SE (Mentor Graphics) dédiés à la conception et à la simulation d ASICs numériques. Le logiciel Design Compiler permet la synthèse logique grâce aux outils design_vision (en mode graphique) et dc_shell (en mode ligne de commande). Le logiciel ModelSim SE permet la simulation temporelle d un circuit au niveau comportemental ou au niveau porte, à partir des langages VHDL ou Verilog. D autres outils équivalents existent mais ces deux outils font références dans l industrie des circuits integrés numériques. Le tutorial va vous permettre, dans un premier temps, d appréhender ces outils en présentant leurs principales composantes. Ensuite, nous nous appuierons sur un exemple concret : la synthèse logique et la vérification d un compteur 6 bits. 2 Flot de conception/vérification Design Compiler + Modesim L outil Design Compiler (DC) fait la traduction d une description VHDL en un réseau de portes logiques (netlist) : c est ce que l on appelle la synthèse logique. Les portes logiques utilisées dans la netlist sont habituellement fournies par un fondeur de circuit (AMS, ST Microelectronics, Intel, Samsung, etc...) sous forme de librairie : c est ce que l on appelle la Librairie de Cellules Standard ou bien le Design Kit. La Figure 1 représente les principales étapes du flot de conception ASIC avec l outil Design Compiler (pour la synthèse logique) et Modelsim (pour la vérification). La librairie de cellules standards que nous allons utiliser est une librairie AMS 0.35µm. analyze : Cette première étape charge le ou les fichier(s) vhdl à lire et effectue une vérification synthaxique du fichier d entrée (counter.vhdl). L outil vérifie non- 1

2 Figure 1 Flot de conception seulement les erreurs de synthaxes mais il s assure également que le VHDL est synthetisable. elaborate : Cette phase correspond à une traduction du VHDL comportemental en une version structurelle du design. Les portes logiques constituants la netlist générée sont génériques (GTECH) indépendantes de la technologie. Durant cette étape l outil réalise déjà un certain nombre d optimisations. A l issue de cette étape, il est possible de sauvegarder le design dans un format propre à l outil (*.ddc). compile : Il s agit de l étape de synthèse logique à proprement parlé. L outil traduit la netlist générique en une netlist constituée des cellules de la librairie standard. L outil effectue un grand nombre d optimisations combinatoires pour pouvoir respecter les contraintes imposées par l utilisateur dans le fichier de contraintes (contrainte.tcl). Lors de cette étape, il est également possible de demander à l outil de faire plus ou moins d optimisations. Plus les optimisations sont poussées, plus le temps de synthèse est long. A l issue de cette étape là, il est possible de sauvegarder le design (*.ddc), de générer la netlist au format vhdl et de générer un fichier d annotations temporelles (*.sdf). Ces deux derniers seront utilisés pour l étape de vérification post-synthèse dans l outil ModelSim. report : A l issue de la synthèse logique, il est possible de générer tout un tas de rapports de synthèses qui permettent de quantifier les performances du circuit 2

3 généré (fréquence max, analyse du chemin critique, consommation de puissance, surface,...). vsim : C est le processus de vérification post-synthèse dans lequel le concepteur vérifie que la netlist générée par l outil a bien un comportement strictement équivalent à la description VHDL comportementale d entrée. Les simulations sont faites avec l outil ModelSim Dans ce TP nous allons appliqué ce flot de conception à un compteur binaire 6 bits. 3 Préparation de l espace de travail 3.1 Mise en place de l environnement Récupérez le fichier tuto_synopsys.tar.gz se trouvant à l adresse : cleroux.vvv.enseirb-matmeca.fr/en219/tp/. Créez un répertoire tuto_synopsys/, Copiez y le fichier tuto_synopsys.tar.gz et décompressez le avec la commande tar -zxvf tuto_synopsys.tar.gz. 3.2 Paramétrage des fichiers de configuration de Design Compiler Avant de faire des synthèses logiques il est nécessaire de dire à l outil où se trouve la librairie standard. Les chemins des librairies standards sont spécifiés dans un script./scripts/synopsys_dc.setup. Le design kit que nous utilisons est fourni par la société AMS. Il s agit d une technologie CMOS avec une caractéristique de 0.35µm. Ouvrez et examinez le fichier de configuration synopsys_dc.setup. Déduisez-en les caractéristiques de la librairie standard utilisée. Quelles autres librairies avons-nous à disposition? Pourquoi avoir plusieurs librairies? 4 Structuration du répertoire de travail De manière générale l utilisation d outils de conception implique la manipulation d un grand nombre de fichiers (crées par l utilisateur ou bien générés par l outil). Pour s y retrouver, il est donc primordial de définir une structure de répertoire de travail qui permette de s y retrouver facilement. Voici la structure de répertoire que vous devrez utiliser : 3

4 ./db : pour mettre vos netlist au format.ddc./scripts : pour mettre vos scripts de synthèse./sim : pour mettre tous les fichiers liés à la simulation dans modelsim (avant et après synthèse) : test benches vhdl, netlist, fichiers.sdf,..../source : pour mettre vos fichiers source à synthétiser (.vhdl)./work : contient les fichiers générés par DC. Ce repertoire est crée par l outil, nul besoin de le créer vous-même../report : pour mettre les rapports de synthèse contenant les informations de timing, surface, conso de puissance, etc... Remarque : DC n utilise comme point d entrée que des fichiers de type VHDL (ou Verilog). Il n existe pas de méthode de saisie graphique pour décrire un système numérique. Les netlists issues de la synthèse logique peuvent etre exportées sous différents formats : VHDL, Verilog, edif, ddc,... 5 Synthèse logique Ce tutorial s appuie sur l exemple d un compteur 6 bits dont nous allons réaliser l analyse complète en détaillant toutes les étapes de la synthèse logique et de la vérification. 5.1 Lancement de l outil IMPORTANT : Placez vous toujours dans votre répertoire de travail ($HOME/tuto_synopsys/counter) AVANT de lancer Design Compiler. Il existe deux manières d utiliser l outil de synthèse : la première consiste en l emploi d une interface en mode ligne de commande. Pour cela on utilise la commande : dc_shell qui lance l environnement (apparition du prompt dc_shell dans le terminal) ; la deuxième consiste en l emploi d une interface graphique. La commande : design_vision permet de lancer l environnement graphique. La fenêtre Design Vision apparaît alors à l écran. Pour les premières utilisations, il est conseillé d utiliser l interface graphique qui est nettement plus conviviale que l interface en mode ligne de commande. Toutes les commandes de dc_shell peuvent être lues au fur et à mesure de leur exécution dans la fenêtre Log en bas de l écran. Il est également possible d écrire des commandes de Design Compiler (dc_shell) dans la ligne design_vision> sous la fenêtre Log. Dans la suite de ce tutorial, nous utiliserons l interface graphique Design_vision mais nous entrerons également des commandes dans la ligne design_vision>. Avec un peu d experience, vous verrez qu il devient vite 4

5 fastidieux d utiliser l interface graphique. Beaucoup de tâches peuvent être automatisées avec des scripts. Les scripts présentent également l avantage de pouvoir reproduire des résultats de synthèse à l identique. 5.2 Fichier VHDL à synthétiser Vous devez réaliser la synthèse logique d un compteur 6 bits. Le point d entrée de l outil est un fichier VHDL. Ce fichier (counter.vhdl) se trouve dans le répertoire source de votre répertoire de travail. ATTENTION : Tout VHDL n est pas synthétisable! Seul un sous-ensemble de VHDL est synthétisable. library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_unsigned.all; entity counter is port( rst : in std_logic; clk : in std_logic; enable : in std_logic; value : out std_logic_vector(5 downto 0)); end counter; architecture RTL of counter is signal bit_counter: std_logic_vector(5 downto 0); begin data_counter_proc : process(rst, clk) begin if(rst= 1 ) then bit_counter <= (others => 0 ); elsif(clk event and clk = 1 ) then if(enable = 1 ) then bit_counter <= bit_counter + 1; end if; end if; end process; 5

6 value <= bit_counter; end RTL; 5.3 Chargement et analyse d un fichier VHDL dans Design Vision Placer vous à la racine de votre projet : cd $HOME/tuto_synopsys/counter. Lancer l outil : design_vision. La première chose à faire est de donner les informations sur la librairie standard à utiliser. Pour cela, il faut exécuter le script./scripts/synopsys_dc.setup. Dans l invite de commande dc_shell, tapez : source./scripts/synopsys_dc.setup. On peut alors lancer la phase d analyse du fichier.vhd : Dans l interface graphique faire File > Analyze > Add, puis sélectionnez le fichier./source/counter.vhdl, spécifier le format VHDL, la librairie WORK et cliquez sur OK. L outil vérifie la synthaxe du ou des fichiers VHDL et crée un certain nombre de fichiers intermédiaires dans le répertoire./work. La commande dc_shell équivalente est : analyze -f vhdl counter.vhdl IMPORTANT : Après chaque commande il est primordial de vérifier qu aucune erreur n a été détectée dans l onglet de Log. Vous pouvez retrouver l historique des commandes dans l onglet history. 5.4 Phase d élaboration du modèle VHDL (synthèse logique technoindépendante) La phase d élaboration correspond à une synthèse logique indépendante de la technologie utilisée, il s agit de générer une vue RTL du design à partir de sa description VHDL. Pour lancer la phase d élaboration : File > Elaborate. Choisissez le circuit à synthétiser dans la bibliothèque de travail WORK, si vous utilisez une entité generic, précisez la valeur des variables générique, puis lancez l élaboration (OK). Vérifiez qu aucune erreur n est détectée pendant cette phase. Le schéma correspondant peut être alors visualisé : Schematic > New Schematic view La commande dc_shell équivalente est : elaborate counter -arch "RTL" -lib WORK -update 6

7 5.5 Sauvegarde d un résultat de synthèse Après l élaboration, le résultat obtenu peut être sauvegardé afin d être utilisé sur plusieurs cibles technologiques ou dans le but d être optimisé suivant différents critères (vitesse, surface, conso,...). Pour sauvegarder votre design :File > Save As, nommez le counter_elab et choisissez le format.ddc et placer le fichier dans le répertoire./db. Le format.ddc est le format interne à Synopsys. La commande dc_shell équivalente est : write -format ddc -hierarchy -output "./db/counter_elab.ddc" 5.6 Mise en oeuvre des contraintes Les contraintes sont des déclarations explicites qui définissent les objectifs à atteindre pour le circuit considéré. L outil propose de jouer sur les contraintes de temps, de surface et de consommation de puissance. Par défaut, l outil minimise la surface en respectant la contrainte de temps imposée. Lors de la phase d optimisation, DC utilise deux modèles de contraintes : les contraintes implicites, qui sont imposées par la bibliothèque technologique ; les contraintes d optimisation (ou contraintes explicites) imposées par l utilisateur. Il existe trois manières de spécifier les contraintes : par ligne de commande, par l interface graphique ou bien directement dans le fichier VHDL. Nous utiliserons la première méthode. Les contraintes sont réunies dans le fichier./scripts/contrainte.tcl : # Create user defined variables set CLK_PORT [get_ports clk] set CLK_PERIOD 4.00 set CLK_SKEW 0.0 set INPUT_DELAY 0.0 set OUTPUT_DELAY 0.0 set MAX_AREA # Time Budget create_clock -period $CLK_PERIOD -name my_clock $CLK_PORT set_dont_touch_network my_clock set_clock_uncertainty $CLK_SKEW [get_clocks my_clock] set_input_delay $INPUT_DELAY -max -clock my_clock [remove_from_collection [all_inpu set_output_delay $OUTPUT_DELAY -max -clock my_clock [all_outputs] 7

8 # Area Constraint set_max_area $MAX_AREA Pour exécuter toutes les commandes contenues dans ce fichier, dans la ligne de commande design_vision tapez : source./scripts/contrainte_counter.tcl 5.7 Compilation et optimisation du design (synthèse logique technodépendante) Pour se rendre compte de l importance de l étape de synthèse il est nécessaire d observer les résultats de compilation avant optimisation (cf section sur l élaboration). On applique maintenant l étape d optimisation pour la technologie ciblée : Design > Compile Design. Ouvrez ensuite le nouveau schéma. On observe que le design est maintenant un réseau de portes logiques de la bibliothèque AMS. La commande dc_shell équivalente est : compile Après avoir vérifier qu aucune erreur n est détectée par l outil, nous allons sauvegarder le résultat de synthèse en vue d une simulation du design synthétisé au niveau porte. Exécuter les commandes suivantes dans la ligne de commande design_vision : write_sdf./sim/source/counter_syn.sdf permet d écrire dans un fichier les temps de propagations à travers chaque porte logique du design synthétisé. write -format ddc -hierarchy -output "./db/counter_syn.ddc" permet de sauvegarder votre design au format.ddc. write -hierarchy -format vhdl -output./sim/source/counter_syn.vhdl permet de sauvegarder la netlist générée au format.vhdl. Nous utiliserons cette netlist ainsi que le fichier.sdf pour la simulation post-synthèse dans Modelsim. Ouvrez et étudiez le fichier.sdf et le fichier.vhdl générés. Lors de l écriture du fichier sdf, un message de type Information apparaît dans le Log. Que signifie ce message? Sommes-nous dans le cas suggéré? 8

9 5.8 Caractéristique du circuit obtenu Pour obtenir les caractéristiques temporelles du circuit, taper : report_timing>./report/counter.timing. Pour obtenir les caractéristiques de surface du circuit, taper : report_area>./report/counter.area. Pour obtenir les caractéristiques de conso. de puissance du circuit, taper : report_power>./report/counter.power. Pour obtenir des infos sur les cellules standards instanciées dans le design, taper : report_cell>./report/counter.cell Pour obtenir des infos sur l horloge : report_clock>./report/counter.clock Pour obtenir un résumé des caractéristique du circuit généré : report_qos>./report/counter.qos 5.9 Script dc_shell Les étapes décrites jusqu ici sont très similaires d un design à un autre. Il est donc très commode d utiliser des scripts qui permettent d automatiser la synthèse logique et donc de gagner du temps! Les étapes décrites dans ce tutorial sont rassemblées dans deux scripts :./scripts/run.tcl qui contient les commande de synthèse et de reporting et./scripts/contrainte.tcl qui contient les contraintes à appliquer à notre design : counter. Pour exécuter ce script, il suffit de taper la commande : source./scripts/run.tcl 6 Simulation pré/post synthèse avec Modelsim 6.1 Pourquoi simuler? Modelsim est un outil de simulation de systèmes numériques développé par la société MentorGraphics. C est un outil très largement utilisé dans l industrie. 9

10 Nous allons utiliser Modelsim pour simuler notre système avant synthèse (simulation comportementale) et après synthèse (simulation de la netlist générée par DC). La simulation post-synthèse permet d une part de valider l étape de synthèse logique en s assurant que la netlist générée par DC a bien le même comportement que la description comportementale. D autre part, Modelsim permet de simuler notre système avec une contrainte de temps (fréquence d horloge fixée) afin de vérifier que notre système peut fonctionner avec la fréquence maximum annoncée par DC. 6.2 Simulation pré-synthèse Figure 2 Simulation pré-synthèse La simulation pré-synthèse permet de vérifier le fonctionnement de notre système, c est à dire de s assurer que le VHDL/Verilog que l on a écrit permet bien de faire ce que l on souhaite (un comptage modulo 64). L invocation de l outil modelsim se fait par la commande vsim. Une fois l outil lancé, assurez-vous d être dans le répertoire de simulation : File > Change Directory et choisir le répertoire $HOME/tuto_synopsys/counter/sim. Notez que le répertoire sim/ contient un sous répertoire source/ qui contiendra les différents 10

11 fichiers source nécessaire à la simulation. Pour préparer la simulation du compteur au niveau comportemental (avant synthèse) : Créez un nouveau projet que l on nommera par example simu_counter dans le répertoire sim/ : File > New > Project, puis : Browse > $HOME/tuto_synopsys/counter/sim/ Ajouter au projet le fichier VHDL contenant la description comportementale du compteur : Project > Add To Project > Existing File : $HOME/tuto_synopsys/counter/source/counter.vhdl Ajouter également le test bench qui se trouve dans le répertoire sim/source $HOME/tuto_synopsys/counter/sim/source/tb_counter.vhdl Compiler tous les fichiers : Compile > Compile All Votre système est alors prêt à être simulé. Pour lancer la simulation : Ouvrir la fenêtre de simulation : Simulate > Start Simulation Dans l onglet Design, sélectionner l architecture behavior du test bench Cliquez sur OK, la simulation se lance Faites glisser les signaux que vous souhaitez visualiser dans l onglet wave. Dans l invite de commande de modelsim, tapez : restart, puis run 1us. Vous pouvez vérifier que la sortie value du compteur correspond bien à un comptage modulo sur 6 bits. 6.3 Simulation post-synthèse Figure 3 Simulation post-synthèse Pour faire une simulation post-synthèse dans Modelsim, nous avons besoin de quatre éléments : 11

12 1. Un test bench (tb_counter.vhdl). Celui-ci se trouve déjà dans le projet. 2. Une netlist de notre circuit générée par Design Compiler utilisant les portes logiques de la librairie AMS : counter_syn.vhdl 3. Une description fonctionnelle des portes logiques de la librairie AMS : fichiers vhdl ou verilog se trouvant dans le répertoire./sim/source/libs_ams (c35_corelib.v et c35_udp.v) 4. Les temps de propagation de toutes les portes logiques contenues dans notre netlist estimés par Design Compiler : fichier counter_syn.sdf Ajout de la netlist : Enlevez la description comportementale du compteur : dans l onglet Project, clique droit sur counter.vhdl puis Remove from Project Ajouter la description au niveau porte : Project > Add to Project > Existing File > $HOME/synopsys/counter/sim/source/counter_syn.vhdl Compilation de la librairie : Créez une bibliothèque : Dans l invite de commande, tapez : vlib c35_corelib. Une bibliothèque est normalement créee dans l onglet Library et un répertoire c35_corelib est crée dans $HOME/synopsys/counter/sim/. Compilez les fichiers verilog dans la librairie c35_corelib : tapez vlog -work c35_corelib./source/libs_ams/c35_corelib.v, puis vlog -work c35_corelib./source/libs_ams/c35_udp.v Compilation et simulation du design avec les timings Nous allons maintenant simuler le compteur en incluant les annotations temporelles calculées pendant la synthèse logique (counter_syn.sdf) : Compilez : Compile > Compile All Ouvrez la fenêtre de simulation : Simulation > Start Simulation Dans l onglet SDF, chargez le fichier d annotations temporelles à appliquer au composant à tester (/tb_counter/uut) : Browse > counter_syn.sdf Apply to Region > tb_counter/uut (on applique les temps spécifiés dans le fichier.sdf uniquement au counter se trouvant dans le test bench) Sélectionnez les temps de propagation min : Delay > max Dans l onglet Librairies rajouter la librairie que vous venez de compiler : c35_corelib 12

13 Dans l onglet Design, sélectionnez une résolution en ns, décochez la case enable optimization et lancez la simulation du testbench Le compteur fonctionne t-il correctement? 7 Annexe Voici une liste non exhaustive des fichiers utilisés dans design compiler : Extension des fichiers sources les fichiers.v sont des fichiers source en langage Verilog les fichiers.vhd/.vhdl sont des fichiers source en langage VHDL les fichiers.edif sont des fichiers source au format EDIF Extension des fichiers de synthèse et de script les fichiers.sdf (standard delay format) sont des fichiers contenant les temps de propagations extraits après synthèse les fichiers.scr/.sh/.tcl sont des fichiers script Extension des fichiers de rapport de compilation et de login les fichiers.log sont des fichiers contenant toutes les commandes et alias de l application. Fichier très utile pour tracer les erreurs lors de la synthèse. les fichiers.db/.ddc sont répertoriés dans la base de données du logiciel. C est également l extension par défaut de DDC. 13

SIN-FPGA DESCRIPTION PAR SCHEMA

SIN-FPGA DESCRIPTION PAR SCHEMA SIN-FPGA DESCRIPTION PAR SCHEMA Documents ressources: http://www.altera.com/literature/lit-index.html Introduction to Quartus II : intro_to_quartus2.pdf Documentation QUARTUS II : quartusii_handbook.pdf

Plus en détail

Conception Systèmes numériques VHDL et synthèse automatique des circuits

Conception Systèmes numériques VHDL et synthèse automatique des circuits Année 2011-2012 Conception Systèmes numériques VHDL et synthèse automatique des circuits Travaux pratiques WIDEMACV1 LAAS-CNRS 2011 Présentation du simulateur VHDL sous environnement Cadence Présentation

Plus en détail

Conception Systèmes numériques VHDL et synthèse automatique des circuits

Conception Systèmes numériques VHDL et synthèse automatique des circuits Année 2008-2009 Conception Systèmes numériques VHDL et synthèse automatique des circuits Travaux pratiques Pentium4 Présentation du simulateur VHDL sous environnement Cadence Présentation de l outil Synopsys

Plus en détail

Tutorial Cadence Virtuoso

Tutorial Cadence Virtuoso Tutorial Cadence Virtuoso (Les premiers pas) Cadence Virtuoso IC6.1.500.3 Design Kit AustriaMicroSystems (AMS) HIT-Kit 4.00 Process : c35b4c3 (0.35µm CMOS 4 métaux) Table des matières Login et ouverture

Plus en détail

Manipulations du laboratoire

Manipulations du laboratoire Manipulations du laboratoire 1 Matériel Les manipulations de ce laboratoire sont réalisées sur une carte électronique comprenant un compteur 4-bit asynchrone (74LS93) avec possibilité de déclenchement

Plus en détail

Le langage VHDL. Eduardo Sanchez EPFL

Le langage VHDL. Eduardo Sanchez EPFL Le langage VHDL Eduardo Sanchez EPFL Livres conseillés: John F. Wakerly Digital design (4th edition) Prentice Hall, 2005 Peter J. Ashenden The designer's guide to VHDL (3rd edition) Morgan Kaufmann, 2008

Plus en détail

T. BLOTIN Lycée Paul-Eluard 93206 SAINT-DENIS

T. BLOTIN Lycée Paul-Eluard 93206 SAINT-DENIS T. BLOTIN Lycée Paul-Eluard 93206 SAINT-DENIS SOMMAIRE I. Le VHDL pour qui, pourquoi, quand, comment? A. Le VHDL!...... 1 B. Pourquoi un langage de description?...... 1 C. Les limites actuelles...... 2

Plus en détail

1-Introduction 2. 2-Installation de JBPM 3. 2-JBPM en action.7

1-Introduction 2. 2-Installation de JBPM 3. 2-JBPM en action.7 Sommaire 1-Introduction 2 1-1- BPM (Business Process Management)..2 1-2 J-Boss JBPM 2 2-Installation de JBPM 3 2-1 Architecture de JOBSS JBPM 3 2-2 Installation du moteur JBoss JBPM et le serveur d application

Plus en détail

Introduction à Eclipse

Introduction à Eclipse Introduction à Eclipse Eclipse IDE est un environnement de développement intégré libre (le terme Eclipse désigne également le projet correspondant, lancé par IBM) extensible, universel et polyvalent, permettant

Plus en détail

Installation de SQL Server Reporting Services avec l intégration dans un site Windows SharePoint Services V3

Installation de SQL Server Reporting Services avec l intégration dans un site Windows SharePoint Services V3 Installation de SQL Server Reporting Services avec l intégration dans un site Windows SharePoint Services V3 Introduction Le Service Pack 2 de SQL Server 2005 a ajouté une option de gestion et d utilisation

Plus en détail

BIRT (Business Intelligence and Reporting Tools)

BIRT (Business Intelligence and Reporting Tools) BIRT (Business Intelligence and Reporting Tools) Introduction Cette publication a pour objectif de présenter l outil de reporting BIRT, dans le cadre de l unité de valeur «Data Warehouse et Outils Décisionnels»

Plus en détail

Quelques outils pour le logiciel «Tux Paint»

Quelques outils pour le logiciel «Tux Paint» Quelques outils pour le logiciel «Tux Paint» Version Window's 0.9.21 Télécharger la version gratuite : http://www.tux Paint.org/download/ Pascale-Dominique Chaillez Lynda O Connell Conseillères pédagogiques

Plus en détail

Connexions à un projet CVS via Eclipse en accès local et distant. 15 Mai 2007

Connexions à un projet CVS via Eclipse en accès local et distant. 15 Mai 2007 Connexions à un projet CVS via Eclipse en accès local et distant Frédéric Lepage 15 Mai 2007 1 TABLE DES MATIÈRES TABLE DES MATIÈRES Table des matières 1 Introduction 3 1.1 Workspace...........................................

Plus en détail

PROJET AZURE (par Florent Picard, A2011)

PROJET AZURE (par Florent Picard, A2011) PROJET AZURE (par Florent Picard, A2011) Remarques générales: exécuter toutes les applications en mode Administrateur; tous les logiciels sont gratuits 1 Mettre à jour l'ordinateur (Windows 7, 64 bits)

Plus en détail

Tuto 2 : Configuration Virtual box, Configuration et installation du serveur XiBO

Tuto 2 : Configuration Virtual box, Configuration et installation du serveur XiBO Tuto 2 : Configuration Virtual box, Configuration et installation du serveur XiBO Dans Virtual box, la machine virtuelle xibo éteinte, sélectionner la et cliquer sur l icône configuration : Dans la fenêtre

Plus en détail

Tutoriel de formation SurveyMonkey

Tutoriel de formation SurveyMonkey Tutoriel de formation SurveyMonkey SurveyMonkey est un service de sondage en ligne. SurveyMonkey vous permet de créer vos sondages rapidement et facilement. SurveyMonkey est disponible à l adresse suivante

Plus en détail

L installation a quelque peu changée depuis les derniers tutos, voici une actualisation.

L installation a quelque peu changée depuis les derniers tutos, voici une actualisation. Gestion de parc Informatique OCS, GLPI sur Debian 5 Jonathan Gautier Open Computer and Software Inventory Next Generation (OCS inventory NG) est une application permettant de réaliser un inventaire sur

Plus en détail

Les différentes méthodes pour se connecter

Les différentes méthodes pour se connecter Les différentes méthodes pour se connecter Il y a plusieurs méthodes pour se connecter à l environnement vsphere 4 : en connexion locale sur le serveur ESX ; avec vsphere Client pour une connexion sur

Plus en détail

Installation de la plate-forme Liberacces 2.0 «Intégrale» avec LiberInstall

Installation de la plate-forme Liberacces 2.0 «Intégrale» avec LiberInstall Installation de la plate-forme Liberacces 2.0 «Intégrale» avec LiberInstall Titre Version Date Dernière page Identité du document Installation de la plate-forme Liberacces 2.0 «Intégrale» avec LiberInstall

Plus en détail

Création et Gestion des tables

Création et Gestion des tables Création et Gestion des tables Version 1.0 Z Grégory CASANOVA 2 Sommaire 1 Introduction... 3 2 Pré-requis... 4 3 Les tables... 5 3.1 Les types de données... 5 3.1.1 Les types de données Sql Server... 5

Plus en détail

Projet De Stijl Plate-forme pour robots mobiles

Projet De Stijl Plate-forme pour robots mobiles Projet De Stijl Plate-forme pour robots mobiles Guide de prise en main de Xenomai et des cibles Version : 22 janvier 2013 S. Di Mercurio, P.-E. Hladik Table des matières 1 Glossaire.........................................

Plus en détail

BASE. Vous avez alors accès à un ensemble de fonctionnalités explicitées ci-dessous :

BASE. Vous avez alors accès à un ensemble de fonctionnalités explicitées ci-dessous : BASE BioArray Software Environment (BASE) est une base de données permettant de gérer l importante quantité de données générées par des analyses de bio-puces. BASE gère les informations biologiques, les

Plus en détail

TP LINUX : LINUX-SAMBA SERVEUR DE FICHIERS POUR UTILISATEURS WINDOWS

TP LINUX : LINUX-SAMBA SERVEUR DE FICHIERS POUR UTILISATEURS WINDOWS TP LINUX : LINUX-SAMBA SERVEUR DE FICHIERS POUR UTILISATEURS WINDOWS I LA MISSION Votre entreprise cherche maintenant à réduire le coût des licences. Elle vous confie la mission qui consiste à tester différents

Plus en détail

Transmission d informations sur le réseau électrique

Transmission d informations sur le réseau électrique Transmission d informations sur le réseau électrique Introduction Remarques Toutes les questions en italique devront être préparées par écrit avant la séance du TP. Les préparations seront ramassées en

Plus en détail

Guide d'installation et de configuration de Pervasive.SQL 7 dans un environnement réseau Microsoft Windows NT

Guide d'installation et de configuration de Pervasive.SQL 7 dans un environnement réseau Microsoft Windows NT Guide d'installation et de configuration de Pervasive.SQL 7 dans un environnement réseau Microsoft Windows NT Ce guide explique les différentes étapes de l installation et de la configuration des composantes

Plus en détail

Reporting Services - Administration

Reporting Services - Administration Reporting Services - Administration Comment administrer SQL Server Reporting Services Cet article a pour but de présenter comment gérer le serveur depuis le "portail" de Reporting Services. Nous verrons

Plus en détail

Table des matières PRESENTATION DU LANGAGE DS2 ET DE SES APPLICATIONS. Introduction

Table des matières PRESENTATION DU LANGAGE DS2 ET DE SES APPLICATIONS. Introduction PRESENTATION DU LANGAGE DS2 ET DE SES APPLICATIONS Depuis SAS 9.2 TS2M3, SAS propose un nouveau langage de programmation permettant de créer et gérer des tables SAS : le DS2 («Data Step 2»). Ces nouveautés

Plus en détail

Universal Robots. Fiche Méthode : Installation du simulateur Polyscope

Universal Robots. Fiche Méthode : Installation du simulateur Polyscope Objectif : Installer le simulateur Polyscope sur un ordinateur Windows. Une connexion internet est requise pour cette installation. Méthode : Téléchargez le fichier Ubuntu.zip sur notre site puis décompressez

Plus en détail

Contenu. Cocher : Network Policy and Access Services > Next > Next. Cocher : Network Policy Server > Next > Install

Contenu. Cocher : Network Policy and Access Services > Next > Next. Cocher : Network Policy Server > Next > Install Albéric ALEXANDRE 1 Contenu 1. Introduction... 2 2. Prérequis... 2 3. Configuration du serveur... 2 a. Installation de Network Policy Server... 2 b. Configuration de Network Policy Server... 2 4. Configuration

Plus en détail

Direction des Systèmes d'information

Direction des Systèmes d'information DEPLOIEMENT DU CLIENT SSL SSL VPN Direction des Systèmes d'information Auteur GDS Référence 2010-GDS-DPT Version Date /2010 Nb. Pages 8 Sujet Ce document décrit le déploiement du logiciel «SSL VPN Client»

Plus en détail

Deuxième Licence en Informatique Data Warehousing et Data Mining La Classification - 1

Deuxième Licence en Informatique Data Warehousing et Data Mining La Classification - 1 Deuxième Licence en Informatique Data Warehousing et Data Mining La Classification - 1 V. Fiolet Université de Mons-Hainaut 2006-2007 Nous allons aujourd hui nous intéresser à la tâche de classification

Plus en détail

QUESTION 1 {2 points}

QUESTION 1 {2 points} ELE4301 Systèmes logiques II Page 1 de 8 QUESTION 1 {2 points} En se servant de paramètres électriques donnés dans le Tableau 1 ci-dessous, on désire déterminer la fréquence d opération du compteur présenté

Plus en détail

Exploiter les statistiques d utilisation de SQL Server 2008 R2 Reporting Services

Exploiter les statistiques d utilisation de SQL Server 2008 R2 Reporting Services Exploiter les statistiques d utilisation de SQL Server 2008 R2 Reporting Services Nous verrons dans cet article comment exploiter simplement les données de Log de SQL Server 2008 R2 Reporting Services

Plus en détail

Tutoriel Création d une source Cydia et compilation des packages sous Linux

Tutoriel Création d une source Cydia et compilation des packages sous Linux Tutoriel Création d une source Cydia et compilation des packages sous Linux 1. Pré-requis 1. Quelques connaissances sous Linux 2. Avoir à disposition un Serveur FTP pour héberger votre source 3. Un thème

Plus en détail

Configurer la supervision pour une base MS SQL Server Viadéis Services

Configurer la supervision pour une base MS SQL Server Viadéis Services Configurer la supervision pour une base MS SQL Server Référence : 11588 Version N : 2.2 : Créé par : Téléphone : 0811 656 002 Sommaire 1. Création utilisateur MS SQL Server... 3 2. Configuration de l accès

Plus en détail

TP Contraintes - Triggers

TP Contraintes - Triggers TP Contraintes - Triggers 1. Préambule Oracle est accessible sur le serveur Venus et vous êtes autorisés à accéder à une instance licence. Vous utiliserez l interface d accés SQL*Plus qui permet l exécution

Plus en détail

Importation de fichiers Eagle

Importation de fichiers Eagle Importation de fichiers Eagle 2 Mention de réserve sur les droits d'auteur Les droits d auteur rattachés à tout ou partie des présents logiciel et manuel appartiennent à RS Components et ne peuvent être

Plus en détail

Projet audio. Analyse des Signaux ELE2700

Projet audio. Analyse des Signaux ELE2700 ÉCOLE POLYTECHNIQUE DE MONTRÉAL Département de Génie Électrique Projet audio Analyse des Signaux ELE2700 Saad Chidami - 2014 Table des matières Objectif du laboratoire... 4 Caractérisation du bruit...

Plus en détail

1 Démarrage de Marionnet

1 Démarrage de Marionnet Institut Galilée Administration Système Année 2011-2012 INFO 2ème année Master Info 1 Master Image & Réseau 1 T.P. 1 Administration Système Le logiciel Marionnet (www.marionnet.org) offre la possibilité

Plus en détail

INSTRUCTIONS D'INSTALLATION

INSTRUCTIONS D'INSTALLATION VISUALISEUR 4.1 VISUALISEUR_V4.1 P720S INSTRUCTIONS D'INSTALLATION Version 0.6 MODIFIÉ LE : 12 MAI 2014 (DOCUMENT DE TRAVAIL ) 5 CONCEPTION Rôle Responsable : Autre(s) rédacteurs(s) : Équipe de travail

Plus en détail

http://manageengine.adventnet.com/products/opmanager/download.html?free

http://manageengine.adventnet.com/products/opmanager/download.html?free Introduction Opmanager est un outil de supervision des équipements réseau. Il supporte SNMP, WMI et des scripts ssh ou Telnet pour récupérer des informations sur les machines. Toutefois les machines doivent

Plus en détail

Vue d ensemble de Windows PowerShell

Vue d ensemble de Windows PowerShell Chapitre 1 Vue d ensemble de Windows PowerShell Après avoir lu ce chapitre, vous serez capable de : Comprendre l utilisation et les capacités de base de Microsoft Windows PowerShell. Installer Windows

Plus en détail

TP LINUX : MISE EN PLACE DU SERVEUR DE MESSAGERIE QMAIL

TP LINUX : MISE EN PLACE DU SERVEUR DE MESSAGERIE QMAIL TP LINUX : MISE EN PLACE DU SERVEUR DE MESSAGERIE QMAIL Au cours de ce TP, vous allez installer un serveur de courrier sur un réseau local. Les étapes par lesquelles vous allez passer sont les suivantes

Plus en détail

Introduction à MATLAB R

Introduction à MATLAB R Introduction à MATLAB R Romain Tavenard 10 septembre 2009 MATLAB R est un environnement de calcul numérique propriétaire orienté vers le calcul matriciel. Il se compose d un langage de programmation, d

Plus en détail

Installation de SCCM 2012 (v2)

Installation de SCCM 2012 (v2) Installation de SCCM 2012 (v2) Tutorial conçu et rédigé par Michel de CREVOISIER SOURCES Installation de SCCM 2012 : http://blog-en.netvnext.com/2011/11/installing-sccm-2012-rc1-primary-site.html Configuration

Plus en détail

WEB page builder and server for SCADA applications usable from a WEB navigator

WEB page builder and server for SCADA applications usable from a WEB navigator Générateur de pages WEB et serveur pour supervision accessible à partir d un navigateur WEB WEB page builder and server for SCADA applications usable from a WEB navigator opyright 2007 IRAI Manual Manuel

Plus en détail

Mise en place d un serveur trixbox sur un domaine Kwartz. Version 1.0 du 25 juin 2012 Lycée Polyvalent d Artois, Noeux les Mines Adrien Bonnel

Mise en place d un serveur trixbox sur un domaine Kwartz. Version 1.0 du 25 juin 2012 Lycée Polyvalent d Artois, Noeux les Mines Adrien Bonnel Mise en place d un serveur trixbox sur un domaine Kwartz Version 1.0 du 25 juin 2012 Lycée Polyvalent d Artois, Noeux les Mines Adrien Bonnel 1 Télécharger trixbox CE 2.8.0.4 Vous pouvez récupérer le fichier

Plus en détail

Votre premier projet Android

Votre premier projet Android 3 Votre premier projet Android Maintenant que le SDK Android est installé, il est temps de créer votre premier projet. La bonne nouvelle est qu il n exige aucune ligne de code les outils Android créent

Plus en détail

calls.paris-neuroscience.fr Tutoriel pour Candidatures en ligne *** Online Applications Tutorial

calls.paris-neuroscience.fr Tutoriel pour Candidatures en ligne *** Online Applications Tutorial calls.paris-neuroscience.fr Tutoriel pour Candidatures en ligne Online Applications Tutorial 1/4 Pour postuler aux Appels d Offres de l ENP, vous devez aller sur la plateforme : calls.parisneuroscience.fr.

Plus en détail

Le langage C. Séance n 4

Le langage C. Séance n 4 Université Paris-Sud 11 Institut de Formation des Ingénieurs Remise à niveau INFORMATIQUE Année 2007-2008 Travaux pratiques d informatique Le langage C Séance n 4 But : Vous devez maîtriser à la fin de

Plus en détail

Classe et groupe : 1P 3 SEN TRI. Ubuntu : serveur Contrôleur de Domaine (PDC) avec SAMBA

Classe et groupe : 1P 3 SEN TRI. Ubuntu : serveur Contrôleur de Domaine (PDC) avec SAMBA Nom : Prénom : Classe et groupe : 1P 3 SEN TRI Télécom & Réseaux Linux Ubuntu Ubuntu : serveur Contrôleur de Domaine (PDC) avec SAMBA 04/11/2010 TP 1. Objectif : Configurer un serveur SAMBA sous Linux

Plus en détail

Documentation FOG. Déploiement d images de systèmes d exploitation à travers le réseau.

Documentation FOG. Déploiement d images de systèmes d exploitation à travers le réseau. Documentation FOG Déploiement d images de systèmes d exploitation à travers le réseau. Sommaire 1. Installation et configuration d une distribution Linux 2. Installation de FOG 2.1. Configuration DHCP

Plus en détail

Cours Bases de données 2ème année IUT

Cours Bases de données 2ème année IUT Cours Bases de données 2ème année IUT Cours Bilan : Des vues à PL/SQL corrigé Anne Vilnat http://www.limsi.fr/individu/anne/cours Plan 1 Cas exemple 2 Les tables... 3 Vues et index 4 Privilèges 5 Fonctions

Plus en détail

L informatique en BCPST

L informatique en BCPST L informatique en BCPST Présentation générale Sylvain Pelletier Septembre 2014 Sylvain Pelletier L informatique en BCPST Septembre 2014 1 / 20 Informatique, algorithmique, programmation Utiliser la rapidité

Plus en détail

Table des matières. 1. Installation de VMware ESXI 4... 3. 1.1. Pré-requis... 3. 1.2. Installation... 3

Table des matières. 1. Installation de VMware ESXI 4... 3. 1.1. Pré-requis... 3. 1.2. Installation... 3 Table des matières 1. Installation de VMware ESXI 4.... 3 1.1. Pré-requis... 3 1.2. Installation... 3 1.2.1. Panneau de configuration du serveur ESXI... 4 1.2.2. Configuration du mot de passe «Root»....

Plus en détail

Automatisation de l administration système

Automatisation de l administration système Automatisation de l administration système Plan Problèmatique : trop de systèmes, trop de solutions Typage des solutions Puppet : gestion de configuration de systèmes Capistrano : déploiement d applications

Plus en détail

Quelques outils pour le logiciel «Tuxpaint»

Quelques outils pour le logiciel «Tuxpaint» Quelques outils pour le logiciel «Tuxpaint» Version PC 0.9.20b- 2008-08-20 Télécharger la version gratuite : http://www.tuxpaint.org/download/ Pascale-Dominique Chaillez Lynda O Connell Conseillères pédagogiques

Plus en détail

Petit guide pour l installation de CVW sous Linux

Petit guide pour l installation de CVW sous Linux LinuxFocus article number 310 http://linuxfocus.org par Juan Manuel Triana,Carlos Andrés Pérez Petit guide pour l installation de CVW sous Linux L auteur:

Plus en détail

Manuel de l Administrateur

Manuel de l Administrateur Manuel de l Administrateur Monitor 1 2 Table des matières 1. Objectif... 5 2. Fonctionnement... 5 2.1. Schéma de fonctionnement... 6 2.2. Les indicateurs... 6 2.3. Le serveur de supervision... 7 2.4. L

Plus en détail

Introduction à ORACLE WAREHOUSE BUILDER Cédric du Mouza

Introduction à ORACLE WAREHOUSE BUILDER Cédric du Mouza Introduction à ORACLE WAREHOUSE BUILDER Cédric du Mouza Avant de commencer à travailler avec le produit, il est nécessaire de comprendre, à un haut niveau, les problèmes en réponse desquels l outil a été

Plus en détail

Velo-city Nantes France 2015. Mode d emploi pour soumettre votre contribution en ligne. Guide des contributeurs

Velo-city Nantes France 2015. Mode d emploi pour soumettre votre contribution en ligne. Guide des contributeurs Velo-city Nantes France 2015 Mode d emploi pour soumettre votre contribution en ligne Guide des contributeurs SOMMAIRE Avant de soumettre votre contribution... 3 Comment soumettre votre contribution...

Plus en détail

ESPACE COLLABORATIF SHAREPOINT

ESPACE COLLABORATIF SHAREPOINT Conseil de l Europe Service des Technologies de l Information ESPACE COLLABORATIF SHAREPOINT DOSSIER D UTILISATEUR 1/33 Sommaire 1. Présentation de SharePoint... 3 1.1. Connexion... 4 2. Les listes...

Plus en détail

Installation et compilation de gnurbs sous Windows

Installation et compilation de gnurbs sous Windows Installation et compilation de gnurbs sous Windows Installation de l environnement de développement Code::Blocks (Environnement de développement) 1. Télécharger l installateur de Code::Blocks (version

Plus en détail

MICROINFORMATIQUE NOTE D APPLICATION 1 (REV. 2011) ARITHMETIQUE EN ASSEMBLEUR ET EN C

MICROINFORMATIQUE NOTE D APPLICATION 1 (REV. 2011) ARITHMETIQUE EN ASSEMBLEUR ET EN C Haute Ecole d Ingénierie et de Gestion Du Canton du Vaud MICROINFORMATIQUE NOTE D APPLICATION 1 (REV. 2011) ARITHMETIQUE EN ASSEMBLEUR ET EN C Programmation en mode simulation 1. DOCUMENTS DE RÉFÉRENCE...

Plus en détail

Compilation (INF 564)

Compilation (INF 564) Présentation du cours Le processeur MIPS Programmation du MIPS 1 Compilation (INF 564) Introduction & architecture MIPS François Pottier 10 décembre 2014 Présentation du cours Le processeur MIPS Programmation

Plus en détail

CAMERA DOME AMELIORÉE DE SURVEILLANCE EN RÉSEAU GUIDE D INSTALLATION

CAMERA DOME AMELIORÉE DE SURVEILLANCE EN RÉSEAU GUIDE D INSTALLATION CAMERA DOME AMELIORÉE DE SURVEILLANCE EN RÉSEAU GUIDE D INSTALLATION Veuillez lire les instructions minutieusement avant l utilisation et les conserver pour leur consultation future. 1. VUE D ENSEMBLE

Plus en détail

TP1 Initiation à la conception de circuits intégrés analogiques.

TP1 Initiation à la conception de circuits intégrés analogiques. CAO TP1 Initiation Cadence 2015 2016 IC 615 / AMS 4.1 1 TP1 Initiation à la conception de circuits intégrés analogiques. L objectif de ce document est de présenter de façon succincte les principales fonctionnalités

Plus en détail

Préparer un état de l art

Préparer un état de l art Préparer un état de l art Khalil DRIRA LAAS-CNRS, Toulouse Unité de recherche ReDCAD École Nationale d ingénieurs de Sfax Étude de l état de l art? Une étude ciblée, approfondie et critique des travaux

Plus en détail

Ce document décrit la démarche à suivre pour installer les outils de développement et compiler le projet TANAGRA.

Ce document décrit la démarche à suivre pour installer les outils de développement et compiler le projet TANAGRA. Guide de compilation de TANAGRA Ce document décrit la démarche à suivre pour installer les outils de développement et compiler le projet TANAGRA. Remarque : La version de TANAGRA distribuée sur le site

Plus en détail

MS SQL Express 2005 Sauvegarde des données

MS SQL Express 2005 Sauvegarde des données MS SQL Express 2005 Sauvegarde des données Création : 08.04.2011 Modification : 08.04.2011 Situation La base de données gratuite MSSQL Express 2005 ne dispose pas d agent (planificateur de tâche) comme

Plus en détail

COMPRENDRE LES DIFFERENTS TYPES DE CONNEXION LORS DE LA

COMPRENDRE LES DIFFERENTS TYPES DE CONNEXION LORS DE LA COMPRENDRE LES DIFFERENTS TYPES DE CONNEXION LORS DE LA DEFINITION D UNE BIBLIOTHEQUE D ACCES A UNE BASE DE DONNEES Cet article s adresse aux administrateurs SAS en charge de la mise à disposition des

Plus en détail

EJBCA PKI Open Source

EJBCA PKI Open Source PKI Open Source http://www.auditiel.fr/docs/installation.pdf 1 SOMMAIRE 1Sommaire... 2 2Introduction... 3 2.1Pré requis...3 2.2Versions... 3 2.3Glossaire...3 3Installation...4 3.1Composants nécessaires...

Plus en détail

Procédures Stockées WAVESOFT... 12 ws_sp_getidtable... 12. Exemple :... 12. ws_sp_getnextsouche... 12. Exemple :... 12

Procédures Stockées WAVESOFT... 12 ws_sp_getidtable... 12. Exemple :... 12. ws_sp_getnextsouche... 12. Exemple :... 12 Table des matières Les Triggers... 2 Syntaxe... 2 Explications... 2 Les évènements déclencheurs des triggers... 3 Les modes de comportements des triggers... 4 Les pseudo tables... 5 Exemple... 6 Les procédures

Plus en détail

Sauvegarde et Restauration d un environnement SAS

Sauvegarde et Restauration d un environnement SAS Sauvegarde et Restauration d un environnement SAS 1 INTRODUCTION 3 1.1 OBJECTIFS 3 1.2 PERIMETRE 3 2 LA SAUVEGARDE 4 2.1 QUELQUES REGLES D ORGANISATION 4 2.2 DEFINIR LES BESOINS 5 2.3 LA SAUVEGARDE, ETAPE

Plus en détail

Surveillance de Scripts LUA et de réception d EVENT. avec LoriotPro Extended & Broadcast Edition

Surveillance de Scripts LUA et de réception d EVENT. avec LoriotPro Extended & Broadcast Edition Surveillance de Scripts LUA et de réception d EVENT avec LoriotPro Extended & Broadcast Edition L objectif de ce document est de présenter une solution de surveillance de processus LUA au sein de la solution

Plus en détail

Installation SQL Server 2005 Express sur le serveur

Installation SQL Server 2005 Express sur le serveur Installation SQL Server 2005 Express sur le serveur Démarrez le serveur en utilisant le login administrateur. Lancer la mise à jour du système via http://windowsupdate.microsoft.com, sélectionner la mise

Plus en détail

Partie 1 : Tutorial de vérification de backup par ABGX 1.0.5

Partie 1 : Tutorial de vérification de backup par ABGX 1.0.5 Partie 1 : Tutorial de vérification de backup par ABGX 1.0.5 Bonjour à tous et à toutes, Dans ce tutorial, je vais vous montrer comment vérifier vos backups afin de pouvoir les faire passer sur votre xbox360

Plus en détail

INSTALLATION DE WINDOWS 2000 SERVER POUR BCDI3. par. G.Haberer, A.Peuch, P.Saadé

INSTALLATION DE WINDOWS 2000 SERVER POUR BCDI3. par. G.Haberer, A.Peuch, P.Saadé INSTALLATION DE WINDOWS 2000 SERVER POUR BCDI3 par G.Haberer, A.Peuch, P.Saadé Table des matières 1. Installation de Windows 2000 Server.............................................. 2 2. Installation

Plus en détail

TD/TP 1 Introduction au SDK d Android

TD/TP 1 Introduction au SDK d Android TD/TP 1 Introduction au SDK d Android Romain Raveaux 1 Introduction Android est un système d'exploitation pour téléphone portable de nouvelle génération développé par Google. Celui-ci met à disposition

Plus en détail

Configuration de GFI MailArchiver

Configuration de GFI MailArchiver Configuration de GFI MailArchiver Introduction à la configuration Après l installation de GFI MailArchiver, vous pourrez commencer la configuration de l interface Web et terminer la configuration de GFI

Plus en détail

Architecture de la plateforme SBC

Architecture de la plateforme SBC Simple Business Connector Architecture de la plateforme SBC Titre Projet Description Architecture de la plateforme SBC Plateforme SBC Ce document reprend toutes les étapes de l'installation du serveur

Plus en détail

VAMT 2.0. Activation de Windows 7 en collège

VAMT 2.0. Activation de Windows 7 en collège VAMT 2.0 Activation de Windows 7 en collège Rédacteurs : Jean-Laurent BOLLINGER Stéphan CAMMARATA Objet : Document décrivant la procédure d activation de Windows 7 avec VAMT 2.0 dans les collèges de l

Plus en détail

Tout d abord les pré-requis : Au menu un certain nombre de KB

Tout d abord les pré-requis : Au menu un certain nombre de KB Sauvegarde automatisée de VM sous HyperV!!! Un sujet plutôt obscur La solution de virtualisation de Microsoft, HyperV (aujourd hui en v1) est très puissante et rivalise avec les ténors comme la solution

Plus en détail

Cours 1 : La compilation

Cours 1 : La compilation /38 Interprétation des programmes Cours 1 : La compilation Yann Régis-Gianas yrg@pps.univ-paris-diderot.fr PPS - Université Denis Diderot Paris 7 2/38 Qu est-ce que la compilation? Vous avez tous déjà

Plus en détail

Installation d'un serveur FTP géré par une base de données MySQL

Installation d'un serveur FTP géré par une base de données MySQL BTS INFORMATIQUE DE GESTION Option Administrateur de réseaux développement d applications COMPTE-RENDU D ACTIVITE ACTIVITE N 2 Nom et Prénom : Casanova Grégory Identification (objectif) de l activité Installation

Plus en détail

Ce TP consiste à installer, configurer et tester un serveur DNS sous Linux. Serveur open source : bind9 Distribution : Mandriva

Ce TP consiste à installer, configurer et tester un serveur DNS sous Linux. Serveur open source : bind9 Distribution : Mandriva DNS (DOMAIN NAME SERVER) INSTALLATION ET CONFIGURATION Ce TP consiste à installer, configurer et tester un serveur DNS sous Linux. Serveur open source : bind9 Distribution : Mandriva Objectifs : L objectif

Plus en détail

Réaliser un inventaire Documentation utilisateur

Réaliser un inventaire Documentation utilisateur Référence : 11662 Version N : 6 Créé le : 29 Janvier 2014 Créé par : Bruno RICHOUX Téléphone : 0811 65 60 02 Sommaire 1. Conventions... 3 2. Introduction... 4 3. Principes généraux... 5 3.1. Depuis les

Plus en détail

TUTORIEL D INSTALLATION D ORACLE ET DE SQL DEVELOPPER TUTORIEL D INSTALLATION D ORACLE...1 ET DE SQL DEVELOPPER...1

TUTORIEL D INSTALLATION D ORACLE ET DE SQL DEVELOPPER TUTORIEL D INSTALLATION D ORACLE...1 ET DE SQL DEVELOPPER...1 TUTORIEL D INSTALLATION D ORACLE ET DE SQL DEVELOPPER Sur Windows Contenu TUTORIEL D INSTALLATION D ORACLE...1 ET DE SQL DEVELOPPER...1 I-Installation d «Oracle Database Express Edition»...2 Etape 1 :

Plus en détail

WINDOWS SHAREPOINT SERVICES 2007

WINDOWS SHAREPOINT SERVICES 2007 WINDOWS SHAREPOINT SERVICES 2007 I. TABLE DES MATIÈRES II. Présentation des «content types» (Type de contenu)... 2 III. La pratique... 4 A. Description du cas... 4 B. Création des colonnes... 6 C. Création

Plus en détail

Création d un service web avec NetBeans 5.5 et SJAS 9

Création d un service web avec NetBeans 5.5 et SJAS 9 Sommaire Création d un service web avec NetBeans 5.5 et SJAS 9 1. Présentation... 2 2. Création d un service web avec NetBeans... 2 2.1. Création d une application Web... 2 2.2. Création du service web...

Plus en détail

Micro-ordinateurs, informations, idées, trucs et astuces utiliser le Bureau à distance

Micro-ordinateurs, informations, idées, trucs et astuces utiliser le Bureau à distance Micro-ordinateurs, informations, idées, trucs et astuces utiliser le Bureau à distance Auteur : François CHAUSSON Date : 8 février 2008 Référence : utiliser le Bureau a distance.doc Préambule Voici quelques

Plus en détail

Instructions pour mettre à jour un HFFv2 v1.x.yy v2.0.00

Instructions pour mettre à jour un HFFv2 v1.x.yy v2.0.00 Instructions pour mettre à jour un HFFv2 v1.x.yy v2.0.00 HFFv2 1. OBJET L accroissement de la taille de code sur la version 2.0.00 a nécessité une évolution du mapping de la flash. La conséquence de ce

Plus en détail

Installation du transfert de fichier sécurisé sur le serveur orphanet

Installation du transfert de fichier sécurisé sur le serveur orphanet Installation du transfert de fichier sécurisé sur le serveur orphanet But du changement de mode de transfert Afin de sécuriser les transferts de données sur la machine orphanet (orphanet.infobiogen.fr),

Plus en détail

Conception de circuits numériques et architecture des ordinateurs

Conception de circuits numériques et architecture des ordinateurs Conception de circuits numériques et architecture des ordinateurs Frédéric Pétrot Année universitaire 2014-2015 Structure du cours C1 C2 C3 C4 C5 C6 C7 C8 C9 C10 C11 Codage des nombres en base 2, logique

Plus en détail

wxwidgets dans un environnement Microsoft Windows

wxwidgets dans un environnement Microsoft Windows 1/18 wxwidgets dans un environnement Microsoft Windows Ce document explique les démarches à suivre pour pouvoir utiliser «wxwidgets» à travers un environnement de développement. Normalement c est ce que

Plus en détail

France SMS+ MT Premium Description

France SMS+ MT Premium Description France SMS+ MT Premium Description Summary Subscription : kinematics Subscription via SMS (Kinematic + messages) Subscription via Wap (Kinematic + messages) Subscription via Mix SMS / WAP Subscription

Plus en détail

lundi 3 août 2009 Choose your language What is Document Connection for Mac? Communautés Numériques L informatique à la portée du Grand Public

lundi 3 août 2009 Choose your language What is Document Connection for Mac? Communautés Numériques L informatique à la portée du Grand Public Communautés Numériques L informatique à la portée du Grand Public Initiation et perfectionnement à l utilisation de la micro-informatique Microsoft Document Connection pour Mac. Microsoft Document Connection

Plus en détail