Manipulations du laboratoire



Documents pareils
Le langage VHDL. Eduardo Sanchez EPFL

SIN-FPGA DESCRIPTION PAR SCHEMA

ARDUINO DOSSIER RESSOURCE POUR LA CLASSE

IFT1215 Introduction aux systèmes informatiques

Instruments de mesure

AP1.1 : Montages électroniques élémentaires. Électricité et électronique

FONCTION COMPTAGE BINAIRE ET DIVISION DE FRÉQUENCE

fullprotect inside EOLE SPEie RS E-SPEie V-0.6A-RS 1.0 revision Protection environnement Datasheet édition française

Mini_guide_Isis_v6.doc le 10/02/2005 Page 1/15

LYCEE TECHNIQUE PIERRE EMILE MARTIN BOURGES ETUDE D UN TRAITEMENT DE SURFACE

QUESTION 1 {2 points}

TABLE DES MATIÈRES 1. DÉMARRER ISIS 2 2. SAISIE D UN SCHÉMA 3 & ' " ( ) '*+ ", ##) # " -. /0 " 1 2 " 3. SIMULATION 7 " - 4.

BD 302 MINI. Etage de puissance pas à pas en mode bipolaire. Manuel 2059-A003 F

Fiche technique CPU 314SC/DPM (314-6CG13)

TP Modulation Démodulation BPSK

I- Définitions des signaux.

Expérience 3 Formats de signalisation binaire

Mini_guide_Isis.pdf le 23/09/2001 Page 1/14

1 Démarrer L écran Isis La boite à outils Mode principal Mode gadget Mode graphique...

ScoopFone. Prise en main rapide

Notice d installation de la Centrale VIGIK DGM1

T. BLOTIN Lycée Paul-Eluard SAINT-DENIS

GPA770 Microélectronique appliquée Exercices série A

Master4Light. Caractérisation Optique et Electrique des Sources Lumineuses. Equipement 2-en-1 : source de courant et spectrophotomètre

Petit guide pratique de dépannage du système d alerte centralisée (modèles de 1980 à 1988)

Guide d installation & de démarrage Gamme Aqua PC Version AquaPro

1. PRESENTATION DU PROJET

Filtres passe-bas. On utilise les filtres passe-bas pour réduire l amplitude des composantes de fréquences supérieures à la celle de la coupure.

UP 588/13 5WG AB13

EP A1 (19) (11) EP A1 (12) DEMANDE DE BREVET EUROPEEN. (43) Date de publication: Bulletin 2011/26

Bien commencer avec un LaunchPad MSP430G et un Breadboard

Acquisition et conditionnement de l information Les capteurs

Conception et réalisation d'une pédale MIDI

VIII- Circuits séquentiels. Mémoires

Neu. Technique d installation / de surveillance. VARIMETER RCM Contrôleur différentiel type B IP 5883

Projet # 3 Serrure à deux clés

Proteus Design Suite V7 Instruments virtuels

CARACTERISTIQUE D UNE DIODE ET POINT DE FONCTIONNEMENT

Manuel d aide à la pose

Architecture des ordinateurs TD1 - Portes logiques et premiers circuits

IUT BREST UN LOGICIEL SCADA : PC VUE 2010 DEP.GMP

Carte Relais GSM (Manuel Utilisateur)

PRODUIRE DES SIGNAUX 1 : LES ONDES ELECTROMAGNETIQUES, SUPPORT DE CHOIX POUR TRANSMETTRE DES INFORMATIONS

La conversion de données : Convertisseur Analogique Numérique (CAN) Convertisseur Numérique Analogique (CNA)

KIT SOLAIRE EVOLUTIF DE BASE

MODULE DIN RELAIS TECHNICAL SPECIFICATIONS RM Basse tension : Voltage : Nominal 12 Vdc, Maximum 14 Vdc

Guide abrégé ME301-2

Guide de montage du PRisme. version 1.2.2

Link GSM idp Manuel v1.0

epowerswitch 8XM+ Fiche technique

Donner les limites de validité de la relation obtenue.

opti-vm Serveur Vocal et Standard Automatique Siemens HiPath 11xx et Hipath 12xx Installation et Guide Utilisateur Version 1.0

Mode d emploi ALTO MONITOR PROCESSEUR D ÉCOUTE. Version 1.0 Juillet 2003 Français

Dossier technique. Présentation du bus DMX et Utilisation des options EL13 / EL14 ERM AUTOMATISMES INDUSTRIELS 1 LE PROTOCOLE DMX 2

OBJECTIFS. I. A quoi sert un oscilloscope?

SUR MODULE CAMÉRA C38A (OV7620)

VOCALYS LITE.

T500 DUAlTACH. JAQUET T500 DualTach Instrument de mesure et de surveillance équipé de 2 entrées fréquence TACHYMETRE 2 CANAUX

SMS-COM LT RAILS DIN V Manuel d installation, de programmation et d utilisation.

CONVERTISSEURS NA ET AN

Guide de l Utilisateur

Enregistreur sans papier. Interface LON. B Description des interfaces 10.99/

HAM841K CENTRALE D'ALARME POUR SYSTEMES DE SECURITE COMMERCIAUX ET D'HABITATION

AMICUS 18 (2ème partie) 4) Présentation du logiciel Amicus IDE

Guide abrégé ME401-2

Enregistreur de Température pour PC DALLAS 1820

TRANSMETTEUR TELEPHONIQUE TTX = SINTEL X

Observer TP Ondes CELERITE DES ONDES SONORES

MANUEL D INSTRUCTION

CHAPITRE IX. Modèle de Thévenin & modèle de Norton. Les exercices EXERCICE N 1 R 1 R 2

Documentation Technique du programme HYDRONDE_LN

Les portes logiques. Voici les symboles des trois fonctions de base. Portes AND. Portes OR. Porte NOT

MultiPlayer Lecteur Audio et vidéo MODE D'EMPLOI

1 Architecture du cœur ARM Cortex M3. Le cœur ARM Cortex M3 sera présenté en classe à partir des éléments suivants :

>> Lisez-moi d abord... Connecter le ZyXEL Prestige 650HW/HW-I

Série HarmonicGuard Application Omnibus Filtre Harmonique Actif. Démarrage Rapide Configuration du Logiciel de l unité

LOGICIEL DC4D MONITOR

Systèmes pour la surveillance et la commande lors de l entreposage et du transvasement de liquides. BA

Export vers le format WAV dans ArtemiS SUITE

Séverine Marien Maxime Ringard. 2 ème année GEII. Régulateur de charge pour station de recharge photovoltaïque

LE SYSTÈME D'ALARME DE GSM

Driver de moteurs pas-à-pas DM432C

ET 24 : Modèle de comportement d un système Boucles de programmation avec Labview.

Manuel d installation du clavier S5

CONFIGURATION ET UTILISATION

Merci d'avoir choisi notre système d'alarme intelligent. Veuillez lire ce manuel avant l'installation afin de la faire fonctionner correctement.

Mentions légales (non traduites) Introduction Légendes Schémas de raccordement Configuration de la S16...

Utilisation du visualiseur Avermedia

CHAPITRE IX : Les appareils de mesures électriques

Interface Quad Disque Dur Externe. Guide utilisateur Français

FRANCAIS DGM1. Centrale Vigik 1 porte. N d homologation : - PS MS Gamme: Vigik MANUEL D INSTALLATION.

INSTALLATION CARACTERISTIQUES TECHNIQUES LOGICIEL DE CONFIGURATION

La température du filament mesurée et mémorisée par ce thermomètre Infra-Rouge(IR) est de 285 C. EST-CE POSSIBLE?

Centrale d alarme DA996

3 ÉLÉMENTS forment un LABoratoire.

Oscilloscope actif de précision CONCEPT 4000M

HemiSSon. HemWirelessCam

Charges électriques - Courant électrique

MT-703. Surveillance de niveau par sonde résistive TELEMETRIE PAR MODULE GSM CONFIGURATION ET UTILISATION

JUPITER /20/27/61m. Contact NF, 50mA à 24v max. avec R50 Ohms en série

Transcription:

Manipulations du laboratoire 1 Matériel Les manipulations de ce laboratoire sont réalisées sur une carte électronique comprenant un compteur 4-bit asynchrone (74LS93) avec possibilité de déclenchement sur un appui bouton ; 6 inverseurs connectés en cascade (74HC04) ; un timer (NE555) en montage astable ou monostable ; un composant programmable en VHDL (ispgal22v10), programmée via le connecteur 8 broches. Ces différents composants possèdent diverses entrées (boutons ou générateur d ondes) et ont leurs sorties connectées soit à des LEDs soit à un connecteur branché à un oscilloscope via un fil monobrin. La figure 1 ci-dessous montre le typon, ou schéma PCB, de la carte électronique. La figure 2, quant à elle, est le dessin schématique de la carte. Prenez un peu de temps pour regarder les connections effectuées et pour en déduire les rôles de chaque composant (circuits intégrés, boutons, LEDs, ) de la carte afin de vous familiariser avec celle-ci. Figure 1 (a) Typon (schema PCB) de la carte de laboratoire : Couche «top layer» et «top overlay», Laboratoire d électronique numérique 19

Figure 1 (b) ajout de la couche «bottom layer». Laboratoire d électronique numérique 20

Figure 2 Schéma de la carte de laboratoire. Laboratoire d électronique numérique 21

2 Le compteur 4-bit asynchrone Ce chip contient un Ripple Counter de 4 bits avec deux broches de reset. Le schéma suivant vous montre sa structure interne, externe, sa représentation la plus classique et l'assignation des broches. Chaque entrée ou sortie reçoit un nom symbolique et un numéro de patte. Plusieurs pattes ne sont pas utilisées dans ce circuit et sont nommées NC (no connection). Puisqu elles sont totalement isolées du reste du circuit, ces entrées peuvent rester flottantes. Sur le schéma-bloc (figure 3), les entrées sont à gauche, les sorties à droite et les alimentations sur les deux côtés restants. Le numéro d'ordre du chip, les noms des pattes et leurs numéros sont reproduits. Le fait de placer les entrées à gauche et les sorties à droite est une convention souvent utilisée pour clarifier les diagrammes logiques : les données se déplacent de gauche à droite, la séquence des événements est donc plus facilement décelable. Les datasheets complets sont fournis en annexe. Figure 3 schéma interne et externe du 4-bit Ripple counter. Laboratoire d électronique numérique 22

Cette première expérience servira de première approche aux méthodes d'introduction et de lecture des données et aux divers instruments du laboratoire. Nous utilisons le chip décrit cidessus, à savoir, le 74HC93 (voir caractéristiques complètes dans les datasheets). Le circuit se compose de quatre flip-flops dont trois sont reliés en chaîne. Pour former un compteur de 4 bits. Les trois premiers points vous aideront à comprendre les connections entre les différentes broches du compteur. Lisez-les attentivement avant de commencer! a. Vérifez la connexion entre le 4ème flip-flop et les trois autres, c-à-d entre QA et CP1 (=B). QA, QB, QC et QD sont alors les sorties binaires du compteur, avec QA comme le bit le moins significatif (Least Significant Bit) et QD, le MSB (Most Significant Bit). Chaque flip-flop dispose d'un reset asynchrone commun commandé par les deux entrées MR1et MR2 à travers une porte NAND. D après les datasheets, on remarque que des '1' sur ces entrées placent le compteur à '0'. b. N'oubliez donc pas de raccorder MR1 (=R1) et MR2 (=R2) à la masse si vous voulez libérer le compteur via les Jumper J0 et J1. Deux pattes de remise à zéro sont utiles si vous voulez interrompre le compteur avant la fin naturelle de la séquence (0000, 0001,..., 1110, 1111, 0000,...). c. Réalisez toujours vos connexions avec l'alimentation et les entrées coupées. Allumez ensuite l'alimentation et puis les entrées. Pour éteindre ou modifier le câblage, coupez les entrées, ensuite l'alimentation. Remarque : les broches d'alimentation ne sont pas aux endroits habituels sur ce circuit intégré. Comme première partie de la manipulation, testez le compteur 4 bits. Pour cela, utilisez un générateur d'impulsions branché à l'entrée d'horloge CP0 (=A). Déconnectez le jumper J2 de la carte! d. Visualisez les sorties du compteur à l aide de LEDs e. Utiliser ensuite un interrupteur (bouton poussoir et une résistance tire haut, placez le jumper J2) comme entrée logique. Visualisez l effet des rebonds. Un oscilloscope numérique permettrait de voir le rebond. Sur base de vos observations, esquissez son allure : Laboratoire d électronique numérique 23

f. Dans le cas de l'oscilloscope double trace, comparez les traces de l'entrée d'horloge avec les différentes sorties alternativement. La fréquence des impulsions sera de 10KHz ou plus. CLK QA QB QC QD Quel(s) lien(s) existe(nt) entre ces différentes traces? Les nombres décimaux codés binaires (BCD) utilisent les nombres binaires de 0000 à 1001 pour représenter les chiffres de 0 à 9. Le 74HC93 peut être utilisé en tant que compteur BCD. g. Débranchez les jumper J0 et J1. Connectez les entrées MR0 et MR1 de manière à obtenir un compteur de ce type. Auriez-vous procédé de la même manière si vous aviez eu un compteur synchrone. Pourquoi? Le 74HC93 peut également être connecté pour compter de 0 à divers nombres. A quoi faut-il connecter MR0 et MR1 pour obtenir un compteur de 0 à 5? h. Vérifiez votre compteur BCD en l'implémentant et en le testant. Un compteur 3 bits est très utile pour générer les entrées des autres schémas logiques. C'est en effet une méthode facile pour vérifier les tables de vérité d'une conception combinatoire puisque le compteur passe par toutes les combinaisons binaires. Laboratoire d électronique numérique 24

3 Délai d inverseurs en cascade a. En utilisant l'oscilloscope, on vous demande de déterminer le délai dans un inverseur (utiliser une fréquence d'horloge voisine de 1MHz). De manière à visualiser un délai plus important, il est conseillé de mesurer le délai de 2, 4 ou 6 inverseurs successifs. Comparer vos résultats avec les valeurs données dans les datasheets. Remarque : Le signal est plus facile à mesurer si vous comparez deux sorties d inverseurs, par exemple, la sortie du premier et du cinquième inverseur. Conclusion : 4 Le timer 555 Le timer 555 est un des IC's les plus utilisés. Il peut notamment servir à générer un pulse de longueur définie suite à une impulsion de quelque longueur que ce soit. C'est ce que l'on appelle le mode "monostable". Il peut aussi être connecté pour produire une série continue de pulses à une fréquence contrôlée. C'est le mode "astable". Les périodes en mode astable sont contrôlées par les valeurs de Ra, Rb et C. La sortie sera haute durant un temps Th donné par : Th = 0.695 (Ra + Rb) C. La sortie sera basse durant un temps Tl donné par Tl = 0.695 Rb C. Avec un peu d'algèbre, il peut être montré que la période totale T et la fréquence F sont données par : Le 555 possède deux entrées de contrôle additionnelles. Un "reset" arrêtera immédiatement Laboratoire d électronique numérique 25

toute sortie et imposera un niveau bas à la sortie. Le "contrôle voltage" (Cv) peut être utilisé pour faire varier la largeur du pulse de sortie en changeant la composante DC lui étant appliquée, ce qui peut aussi changer la fréquence astable d'oscillation. a. Placer le timer555 en mode astable (J3 OFF, switch haut), Ra = 100k, Rb = 10k et C = 100nF. Connecter le channel 1 de l'oscilloscope et le régler afin de pouvoir observer un ou deux cycles du signal de sortie du 555. Connecter le channel 1 de l'oscilloscope et le régler afin de pouvoir observer un ou deux cycles du signal de sortie du 555. Connecter le channel 2 de l'oscilloscope tout d'abord sur l'entrée "discharge" et ensuite sur l'entrée "threshold" ou "trigger" qui sont connectées ensemble. Dessiner à l'échelle les trois signaux (figue 4). Soyez sûr de bien représenter leurs relations au temps. Insérer les valeurs observées en temps et en amplitude sur le graphe. Expliquez le comportement des courbes (le schéma interne de ce composant est donné à la figure 4 et vous permettra de mieux comprendre son fonctionnement). Figure 4 Complétez ces diagrammes termporels. Laboratoire d électronique numérique 26

Figure 5 - Schéma interne du 555. Visualisez l effet du potentiomètre. Expliquez. b. Placer le timer555 en mode monostable (J3 ON, switch bas), Ra = 100k et C = 100nF. Connecter le channel 1 de l'oscilloscope et le régler afin de pouvoir observer un ou deux cycles du signal de sortie du 555. Le bouton est le signal de commande des pulses de sortie. La largeur du pulse vaut T w = 1.1 R A C Qu observez-vous en appuyant sur le bouton (appui court et long)? Le montage fonctionne-t-il comme attendu? Que feriez-vous pour vous s assurer que le montage est bien un montage monostable? Laboratoire d électronique numérique 27

A quoi sert un tel montage? 5 Un composant programmable : la GAL a. Programmer un compteur 3-bit synchrone en VHDL. Utilisez la suite de logiciels isplever CLassic de Lattice, ainsi que le module USB de programmation HW-USBN-2A. Attention à l attribution des pins!!! Elle est fixée par la carte!!! Voici un code VHDL d un tel compteur, vous le trouverez dans le fichier D:\Documents\ELEN040\Labo\VHDL\LABOEN.vhd ou C:\ELEN040\VHDL\LABOEN.vhd library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity LABOEN is port( CLK, B1: in std_logic ; O: out std_logic_vector ( 2 downto 0 )); -- attributions des pins selon SYNPLIFY sous isplever attribute loc: string; attribute loc of B1: signal is "p3"; attribute loc of O: signal is "p17,p18,p19"; end LABOEN; architecture ARCH_LABOEN of LABOEN is signal Q : std_logic_vector(2 downto 0); -- signal interne, FF begin process(clk) -- process compter begin if CLK'event and CLK='1' then -- flanc montant de CLK if B1='0' then -- reset synchrone Q <= "000"; else Q <= Q+1; -- incrément de Q end if; end if; end process; process(q) begin O <= Q; end process; -- process : sortie = valeur de Q end ARCH_LABOEN; Laboratoire d électronique numérique 28

b. Programmer 2 fonctions F 1 et F 2 en VHDL Prenez le compteur Q comme entrées A, B, C des fonctions F1 et F2 et ajoutez un process qui définit les sorties F1 et F2 tel que F1 (A, B, C) = Σm (3, 6, 7) F2 (A, B, C) = Σm (0, 1, 3, 6, 7) c. Implémentez de 3 autres manières ces 2 fonctions Quels sont les avantages et les inconvénients de chacune d'elle? d. Programmer une animation lumineuse Cette dernière manipulation est un problème de synthèse de circuit. On vous demande de concevoir et de réaliser une animation lumineuse sur 5 ou 6 LEDs. Le choix de la séquence et le nombre de séquences est laissé libre. La seule restriction est d utiliser uniquement la GAL et de la programmer en VHDL. Essayer de faire preuve d'ingéniosité pour simplifier au maximum votre circuit (pensez éventuellement aux don't care si votre circuit en comporte...). De manière à tester votre implémentation, alimentez votre circuit au moyen du compteur réalisé lors de la première manipulation. Donnez la table de votre circuit et le code VHDL. Voici un exemple : Laboratoire d électronique numérique 29

library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity animlum is port( CLK: in std_logic ; B1: in std_logic; B2: in std_logic; O_CNT: out std_logic_vector(2 downto 0); O_ANIM: out std_logic_vector(4 downto 0) ); -- attributions des pins selon SYNPLIFY sous isplever attribute loc: string; attribute loc of B1: signal is "p3"; -- voir schema-carte Fig.2 attribute loc of B2: signal is "p4"; -- voir schema-carte Fig.2 attribute loc of O_CNT: signal is "p17,p18,p19"; attribute loc of O_ANIM: signal is "p20,p21,p23,p24,p25"; end animlum; architecture arch_animlum of animlum is -- definir ETAT = machine d'états de 2 bits, donc 4 etats -- 00 : mode stop -- 01 : mode défilement "gauche" -- 10 : mode défilement "droite" -- 11 : non utilisé -- Déclaration des signaux internes signal ETAT: std_logic_vector(1 downto 0); signal S_CNT : std_logic_vector(2 downto 0); begin -- process CNT process(clk) begin if CLK'event and CLK='1' then if S_CNT > "011" then S_CNT <= "000"; -- compte de 0 à 4 seulement else S_CNT <= S_CNT +1; end if; end if; end process; -- process ETAT process(clk,b1,b2) begin if CLK'event and CLK='1' then if B1='0' and B2='0' then ETAT <= "00"; elsif B1='0' then ETAT <= "01"; elsif B2='0' then ETAT <= "10"; else NULL; end if; Laboratoire d électronique numérique 30