Latch, Bascule & Compteurs

Dimension: px
Commencer à balayer dès la page:

Download "Latch, Bascule & Compteurs"

Transcription

1 Latch, Bascule & Compteurs 1 Introduction Ce laboratoire a pour but de vos familiariser avec les systèmes séquentiels, celui-ci sera séparé en trois parties : 1. Latch vs Bascule D 2. Compteur 4bits 3. Horloge du type hh : mm : ss Chaque étape pourra être testé séparément avec les logiciels de simulation (fourni dans les documents du laboratoire : lab04.zip) ou à l aide de ModelSim. 2 Latch vs Bascule D Afin de bien comprendre la différence entre un latch et une bascule D, cette partie est consacrée à l implémentation de ces deux composants. 2.1 Latch Comme décrit durant le cours, un latch permet de charger une nouvelle valeur quand on active celui-ci ou de conserver cette valeur quand celui-ci n est pas activé. La table?? décrit le comportement. en Q + 0 Q 1 D FIGURE 1 Table d un Latch 2.2 Bascule D Contrairement au latch, une bascule permet de changer d état uniquement lors d un flanc montant, ce qui permet de garder son état durant une période définit par l horloge (clk). clk Q + 0 Q 1 Q D FIGURE 2 Table & schéma d une bascule D Une manière d implémenter une bascule D, est d utiliser des latchs, pour ce faire il faut utiliser deux latch : l un dit esclave et l autre maître. La figure?? schématise cette implémentation. EPFL - IC - Version sur??

2 2.3 Laboratoire 1. Ouvrez le projet latch_bascule/latch_flipflop.qpf avec Quartus. 2. Dans FlipFlop.bdf : Implémentez une bascule D comme décrit à la section??, pour ce faire utiliser le composant DLATCH de la librairie Quartus. 3. Compilez le projet et testez-le à l aide de ModelSim et du script ci-dessous 1 force e 0 0, 1 4 -repeat 8 2 force d 0 0, 1 3 -repeat 6 3 run 24 Listing 1 Simulation latch vs bascule D 4. Comparez les signaux de sortis qlatch et qflipflop. Quels sont les différences? Pourquoi y a-t-il ces différences? 3 Compteur avec incrément et décrément Le système représente un compteur comportant deux entrées et une sortie : a permet d activer le compteur b permet d incrémenter (= 1) ou décrémenter (= 0) le compteur o qui indique si le compteur a effectué un overflow (dépassement de capacité, 0 3 dans notre cas). On représente ce système à l aide de la machine et table des états de la figure??. Les deux signaux e et f sont les variables des bascules. Quant aux signaux e + et f +, ceux-ci sont respectivement e et f après le prochain coup d horloge. 11,1 10, a b ,0 00,0 01,0 11, ,0 01,0 00, , ,0 e f e + f +,o FIGURE 3 Machine et table des états 3.1 Synthèse Après synthèse du système à l aide de tables de Karnaugh, on trouve les équations suivante : e + = abe f + abe f + be f + be f + ae f + = a f o = abe f + abe f EPFL - IC - Version sur??

3 3.2 Laboratoire 1. Ouvrez le projet counter/counter.qpf avec Quartus. 2. Dans Counter.bdf : Implémentez le système séquentiel, pour ce faire utiliser le composant DFF de la librairie Quartus, celui-ci représente une bascule D. Faites également les signaux sgn_e, sgn_ f et sgn_o. Aidez-vous du schéma général de la figure?? FIGURE 4 Schéma général d une machine de Moore 3. Générez tous les documents hdl à l aide de File Create / Update Create HDL Design File from Current File... et ceci pour chacun des documents bdf 4. Testez-le à l aide du simulateur lab04.jar, onglet Counter (ou de ModelSim avec le script ci-dessous) 1 force clk 0 0, 1 1 -repeat 2 # Clock 2 3 force a 1 # Activate 4 force b 1 # Incremente 5 run 4 # 2 clk -> Counter at force a 0 # Deactivate le compteur 8 run 4 # 2 clk -> Counter still at force a 1 # Activate 11 force b 0 # Decremente 12 run 6 # 3 clk -> Counter at 3 and overflow should be at 1 Listing 2 Simulation compteur EPFL - IC - Version sur??

4 4 Horloge FIGURE 5 Simulator Dans cette dernière partie, nous allons créer une horloge digitale. FIGURE 6 Horloge digitale Nous remarquons qu il va donc falloir utiliser un compteur 4bits (0 à 15, réellement nous avons besoin que de 0 à 9). A la place de créer celui-ci en utilisant une machine d états, nous allons le faire en utilisant l additionneur complet. L idée est que lorsque le compteur est actionné, on ajoute 1 au compteur. Et lorsqu il atteins la valeur maximum, on effectue un reset sur celui-ci. Voici le schéma du compteur 1 bit, qui sera ensuite connecté en série pour avoir notre compteur 4 bits. FIGURE 7 Compteur 1bit 4.1 Laboratoire 1. Ouvrez le projet clock/clock.qpf avec Quartus. EPFL - IC - Version sur??

5 2. Analysez les différents schémas afin d expliquer l utilité des parties encadrée en vert? Ainsi que le schéma de la figure??. 3. Dans Counter1bit.bdf : Implémentez le système séquentiel, comme sur le schéma??. Utilisez les composants gnd pour le 0 et dffe pour la bascule D avec enable. 4. Générez tous les documents hdl à l aide de File Create / Update Create HDL Design File from Current File... et ceci pour chacun des documents bdf 5. Compilez le projet et testez-le à l aide du simulateur lab04.jar, onglet Clock FIGURE 8 Simulator EPFL - IC - Version sur??

VIII- Circuits séquentiels. Mémoires

VIII- Circuits séquentiels. Mémoires 1 VIII- Circuits séquentiels. Mémoires Maintenant le temps va intervenir. Nous avions déjà indiqué que la traversée d une porte ne se faisait pas instantanément et qu il fallait en tenir compte, notamment

Plus en détail

Conception Systèmes numériques VHDL et synthèse automatique des circuits

Conception Systèmes numériques VHDL et synthèse automatique des circuits Année 2011-2012 Conception Systèmes numériques VHDL et synthèse automatique des circuits Travaux pratiques WIDEMACV1 LAAS-CNRS 2011 Présentation du simulateur VHDL sous environnement Cadence Présentation

Plus en détail

SIN-FPGA DESCRIPTION PAR SCHEMA

SIN-FPGA DESCRIPTION PAR SCHEMA SIN-FPGA DESCRIPTION PAR SCHEMA Documents ressources: http://www.altera.com/literature/lit-index.html Introduction to Quartus II : intro_to_quartus2.pdf Documentation QUARTUS II : quartusii_handbook.pdf

Plus en détail

Conception Systèmes numériques VHDL et synthèse automatique des circuits

Conception Systèmes numériques VHDL et synthèse automatique des circuits Année 2008-2009 Conception Systèmes numériques VHDL et synthèse automatique des circuits Travaux pratiques Pentium4 Présentation du simulateur VHDL sous environnement Cadence Présentation de l outil Synopsys

Plus en détail

IFT1215 Introduction aux systèmes informatiques

IFT1215 Introduction aux systèmes informatiques Introduction aux circuits logiques de base IFT25 Architecture en couches Niveau 5 Niveau 4 Niveau 3 Niveau 2 Niveau Niveau Couche des langages d application Traduction (compilateur) Couche du langage d

Plus en détail

Architecture des ordinateurs TD1 - Portes logiques et premiers circuits

Architecture des ordinateurs TD1 - Portes logiques et premiers circuits Architecture des ordinateurs TD1 - Portes logiques et premiers circuits 1 Rappel : un peu de logique Exercice 1.1 Remplir la table de vérité suivante : a b a + b ab a + b ab a b 0 0 0 1 1 0 1 1 Exercice

Plus en détail

SPECIFICATIONS TECHNIQUES : Gestion des Médicaments et des commandes de médicaments

SPECIFICATIONS TECHNIQUES : Gestion des Médicaments et des commandes de médicaments SPECIFICATIONS TECHNIQUES : Gestion des Médicaments et des commandes de médicaments Développement d une application en web/ client lourd en C# permettant la gestion des médicaments et des commandes de

Plus en détail

Quoi de neuf en LabVIEW FPGA 2010?

Quoi de neuf en LabVIEW FPGA 2010? Quoi de neuf en LabVIEW FPGA 2010? Yannick DEGLA Ingénieur d Application Fonctionnalités de LabVIEW FPGA 2010 Nœud d intégration d IP - Importer directement des fichiers.xco de Xilinx ou vos propres VHDL

Plus en détail

Les liaisons SPI et I2C

Les liaisons SPI et I2C DAMÉCOURT BENJAMIN AVRIL 28 Liaisons synchrones Les liaisons SPI et I2C Face arrière d un imac : trois ports USB, un port Firewire 4 et un port Firewire 8 CHRONOLOGIE ANNÉES 7 La liaison SPI et la création

Plus en détail

Manipulations du laboratoire

Manipulations du laboratoire Manipulations du laboratoire 1 Matériel Les manipulations de ce laboratoire sont réalisées sur une carte électronique comprenant un compteur 4-bit asynchrone (74LS93) avec possibilité de déclenchement

Plus en détail

WIFI-DMX INTERFACE AUTONOME V 1.5.0

WIFI-DMX INTERFACE AUTONOME V 1.5.0 WIFI-DMX INTERFACE AUTONOME V 1.5.0 SOMMAIRE Specifications techniques de l interface... 3 Brochage de l interface... 4 Câblage et connexion des 15 contacts externes... 5 Face avant de l interface... 6

Plus en détail

Les fonctions logiques

Les fonctions logiques 1 Les fonctions logiques Le fonctionnement des ordinateurs tout comme d autres appareils électroniques repose sur l emploi des circuits électroniques de logique binaire ou électronique numérique. Dans

Plus en détail

Modules d automatismes simples

Modules d automatismes simples Modules d automatismes simples Solutions pour automatiser Modules d'automatismes Enfin, vraiment simple! Un concentré de solution Pour vos petites applications d'automatismes millenium gère : Temporisations

Plus en détail

Etude d Algorithmes Parallèles de Data Mining

Etude d Algorithmes Parallèles de Data Mining REPUBLIQUE TUNISIENNE MINISTERE DE L ENSEIGNEMENT SUPERIEUR, DE LA TECHNOLOGIE ET DE LA RECHERCHE SCIENTIFIQUE UNIVERSITE DE TUNIS ELMANAR FACULTE DES SCIENCES DE TUNIS DEPARTEMENT DES SCIENCES DE L INFORMATIQUE

Plus en détail

FONCTION COMPTAGE BINAIRE ET DIVISION DE FRÉQUENCE

FONCTION COMPTAGE BINAIRE ET DIVISION DE FRÉQUENCE I/ GÉNÉRALITÉS I.1/ Fonction Un compteur binaire est utilisé : -pour compter un certain nombre d'évènements binaires -pour diviser la fréquence d'un signal logique par 2 m Page 1 FONCTION COMPTAGE BINAIRE

Plus en détail

PIC EVAL Dev Board PIC18F97J60

PIC EVAL Dev Board PIC18F97J60 PIC EVAL Dev Board PIC18F97J60 2 TP1 : Prise en main de l environnement de programmation pour la carte PIC EVAL-ANFA Pour répondre aux questions et justifier vos réponses, vous pouvez faire des copies

Plus en détail

Proteus Design Suite V7 Instruments virtuels

Proteus Design Suite V7 Instruments virtuels Proteus Design Suite V7 Instruments virtuels Le modèle d oscilloscope virtuel...2 Généralités...2 Utilisation de l oscilloscope...2 Le modèle d analyseur logique...5 Généralités...5 Utilisation de l analyseur

Plus en détail

Modélisation et Gestion des bases de données avec mysql workbench

Modélisation et Gestion des bases de données avec mysql workbench Modélisation et Gestion des bases de données avec mysql workbench par novembre 2011 Table des matières 1 Installation 3 1.1 Ecran de chargement 3 1.2 Page d accueil 3 2 Réalisation d une base de données

Plus en détail

SYSTEME DE TELESURVEILLANCE VIDEO

SYSTEME DE TELESURVEILLANCE VIDEO SYSTEME DE TELESURVEILLANCE VIDEO Avril - Juin 98 Résumé des auteurs : Auteurs : Bertrand LASSERRE Responsables : P. KADIONIK Y. BERTHOUMIEU Nombre de pages : 68 Nombre de pages «Annexe» : 0 Remerciements

Plus en détail

Table des matières. Date : Version : 29/06/2013 1.1. Objet : OpenVas 6.0

Table des matières. Date : Version : 29/06/2013 1.1. Objet : OpenVas 6.0 Cette œuvre est mise à disposition selon les termes de la Licence Creative Commons Paternité - Pas d'utilisation Commerciale 3.0 non transposé. Le document est librement diffusable dans le contexte de

Plus en détail

COMMANDER la puissance par MODULATION COMMUNIQUER

COMMANDER la puissance par MODULATION COMMUNIQUER SERIE 4 MODULER - COMMUNIQUER Fonctions du programme abordées : COMMANDER la puissance par MODULATION COMMUNIQUER Objectifs : Réaliser le câblage d un modulateur d après le schéma de puissance et de commande,

Plus en détail

La Programmation Orientée Agent Les Agents Réactifs

La Programmation Orientée Agent Les Agents Réactifs La Programmation Orientée Agent Les Agents Réactifs Emmanuel ADAM Université de Valenciennes et du Hainaut-Cambrésis UVHC/ISTV-LAMIH E. ADAM University of Valenciennes La Programmation Orientée Agent Les

Plus en détail

Surveillance de Scripts LUA et de réception d EVENT. avec LoriotPro Extended & Broadcast Edition

Surveillance de Scripts LUA et de réception d EVENT. avec LoriotPro Extended & Broadcast Edition Surveillance de Scripts LUA et de réception d EVENT avec LoriotPro Extended & Broadcast Edition L objectif de ce document est de présenter une solution de surveillance de processus LUA au sein de la solution

Plus en détail

S28 - La mise en œuvre de SSO (Single Sign On) avec EIM (Enterprise Identity Mapping)

S28 - La mise en œuvre de SSO (Single Sign On) avec EIM (Enterprise Identity Mapping) Modernisation, développement d applications et DB2 sous IBM i Technologies, outils et nouveautés 2013-2014 13 et 14 mai 2014 IBM Client Center Paris, Bois-Colombes S28 - La mise en œuvre de SSO (Single

Plus en détail

COMMANDER A DISTANCE LE ROBOT-PONG ETUDE DE LA TELECOMMANDE (2 nde PARTIE)

COMMANDER A DISTANCE LE ROBOT-PONG ETUDE DE LA TELECOMMANDE (2 nde PARTIE) SIN STI2D - Système d'information et Numérique TD TP Cours Synthèse Devoir Evaluation Projet Document ressource COMMANDER A DISTANCE LE ROBOT-PONG ETUDE DE LA TELECOMMANDE (2 nde PARTIE) 1 GESTION DES

Plus en détail

Note d Application. Bascule d ALOHA via injection de route en BGP

Note d Application. Bascule d ALOHA via injection de route en BGP Note d Application Bascule d ALOHA via injection de route en BGP Version du document : v1.2 Dernière mise à jour : 8 novembre 2013 Objectif Cette note décrit comment créer une platforme hautement disponible

Plus en détail

Programme. 048884-EcranTactile-01 MANUEL DE MISE EN ŒUVRE

Programme. 048884-EcranTactile-01 MANUEL DE MISE EN ŒUVRE Programme d application 048884-EcranTactile-01 MANUEL DE MISE EN ŒUVRE Sommaire 4 5 7 10 11 13 14 18 19 21 22 Description des fonctionnalités du produit Généralité concernant le fonctionnement Utilisation

Plus en détail

LA MESURE INDUSTRIELLE

LA MESURE INDUSTRIELLE E02 LA MESURE INDUSTRIELLE 20 Heures Technicien responsable de la maintenance Approfondir les techniques de mesure; Prendre en compte l aspect métrologie. Connaître les limites et les facteurs d influences

Plus en détail

Le langage VHDL. Eduardo Sanchez EPFL

Le langage VHDL. Eduardo Sanchez EPFL Le langage VHDL Eduardo Sanchez EPFL Livres conseillés: John F. Wakerly Digital design (4th edition) Prentice Hall, 2005 Peter J. Ashenden The designer's guide to VHDL (3rd edition) Morgan Kaufmann, 2008

Plus en détail

PROJET 1 : BASE DE DONNÉES REPARTIES

PROJET 1 : BASE DE DONNÉES REPARTIES PROJET 1 : BASE DE DONNÉES REPARTIES GESTION D UNE BANQUE Elèves : David Bréchet Frédéric Jacot Charles Secrétan DONNÉES DU PROJET SSC - Bases de Données II Laboratoire de Bases de Données BD réparties

Plus en détail

Manuel d'utilisation. OctoBUS 64

Manuel d'utilisation. OctoBUS 64 Manuel d'utilisation OctoBUS 64 Responsabilités Garantie L'installateur s'engage à respecter les normes CE et les prescriptions d'installation. L'installation doit être effectuée par du personnel qualifié.

Plus en détail

ISAN System: 3 Création d un V-ISAN

ISAN System: 3 Création d un V-ISAN sm: é d V Wb f B Rs s: E b W B bs d mdè Vs j www.sb. B ss Psfh B 7 T. +4 5 Fx +4 7 EM: f@sb. www.sb. B ss Psfh B 7 T. +4 5 Fx +4 7 EM: f@sb. wzd é d V mm: TRODUTO DEMRE. OEXO. RETO D U V 4 FORMTO UPPLEMETRE

Plus en détail

Notes de cours : bases de données distribuées et repliquées

Notes de cours : bases de données distribuées et repliquées Notes de cours : bases de données distribuées et repliquées Loïc Paulevé, Nassim Hadj-Rabia (2009), Pierre Levasseur (2008) Licence professionnelle SIL de Nantes, 2009, version 1 Ces notes ont été élaborées

Plus en détail

EL70x1 en mode position. Mise en œuvre rapide. VERSION : Beta / DATE : 31 Juillet 2011

EL70x1 en mode position. Mise en œuvre rapide. VERSION : Beta / DATE : 31 Juillet 2011 - EL70x1 en mode position Mise en œuvre rapide VERSION : Beta / DATE : 31 Juillet 2011 Les bornes EL70x1 permettent de contrôler des moteurs pas à pas. Soit la consigne est générée par une tâche NC, soit

Plus en détail

ELP 304 : Électronique Numérique. Cours 1 Introduction

ELP 304 : Électronique Numérique. Cours 1 Introduction ELP 304 : Électronique Numérique Cours 1 Introduction Catherine Douillard Dépt Électronique Les systèmes numériques : généralités (I) En électronique numérique, le codage des informations utilise deux

Plus en détail

Le modèle de données

Le modèle de données Le modèle de données Introduction : Une fois que l étude des besoins est complétée, deux points importants sont à retenir : Les données du système étudié Les traitements effectués par le système documentaire.

Plus en détail

Bornier E/S. Instructions de mise en service. Montage Connexion. à partir du V 5.6-E. 05/2012 fr

Bornier E/S. Instructions de mise en service. Montage Connexion. à partir du V 5.6-E. 05/2012 fr Bornier E/S Instructions de mise en service Montage Connexion à partir du V 5.6-E 05/2012 fr Sommaire Sommaire 1 Aperçu.................................. 3 2 Consignes de sécurité.....................

Plus en détail

Tests de SlipStream sur les plateformes StratusLab@LAL et OpenStack@CC-IN2P3 : vers la. Vers la fédération du Cloud computing

Tests de SlipStream sur les plateformes StratusLab@LAL et OpenStack@CC-IN2P3 : vers la. Vers la fédération du Cloud computing Tests de sur les plateformes StratusLab@LAL et OpenStack@CC-IN2P3 : vers la fédération du Cloud computing Cécile Cavet1 & Charles Loomis2 (1) Centre François Arago, Laboratoire, Université Paris Diderot,

Plus en détail

Mise en oeuvre TSM 6.1

Mise en oeuvre TSM 6.1 Mise en oeuvre TSM 6.1 «Bonnes pratiques» pour la base de données TSM DB2 Powered by Qui sommes nous? Des spécialistes dans le domaine de la sauvegarde et de la protection des données 10 ans d expertise

Plus en détail

S8 - Sécurité IBM i : nouveautés 6.1 et 7.1

S8 - Sécurité IBM i : nouveautés 6.1 et 7.1 Modernisation, développement d applications et DB2 sous IBM i Technologies, outils et nouveautés 2013-2014 13 et 14 mai 2014 IBM Client Center Paris, Bois-Colombes S8 - Sécurité IBM i : nouveautés 6.1

Plus en détail

SUR MODULE CAMÉRA C38A (OV7620)

SUR MODULE CAMÉRA C38A (OV7620) Applications maquette d'étude EP10K20 DÉMULTIPLEXEUR BT.656 SUR MODULE CAMÉRA C38A OV7620 SCHÉMAS ET DESCRIPTIONS AHDL 1. Schéma principal Le démultiplexeur proprement dit est la fonction "Decod_BT656_1".

Plus en détail

Installation et configuration du logiciel BauBit

Installation et configuration du logiciel BauBit Installation et configuration du logiciel BauBit Version Windows 2013 Version Date Description 1.0 11.2011 Gabriel Python 2.0 01.2013 Gabriel Python 3.0 09.2013 Gabriel Python 1 1 Configuration avant installation

Plus en détail

SQL. Oracle. pour. 4 e édition. Christian Soutou Avec la participation d Olivier Teste

SQL. Oracle. pour. 4 e édition. Christian Soutou Avec la participation d Olivier Teste Christian Soutou Avec la participation d Olivier Teste SQL pour Oracle 4 e édition Groupe eyrolles, 2004, 2005, 2008, 2010, is BN : 978-2-212-12794-2 Partie III SQL avancé La table suivante organisée en

Plus en détail

Automatisation de l administration système

Automatisation de l administration système Automatisation de l administration système Plan Problèmatique : trop de systèmes, trop de solutions Typage des solutions Puppet : gestion de configuration de systèmes Capistrano : déploiement d applications

Plus en détail

Auto formation à Zelio logic

Auto formation à Zelio logic Auto formation à Zelio logic 1 Les Produits Félicitations, vous avez choisi l'un des produits Zelio 2 suivants : 2 Environnement Le Zelio Logic est programmable à l'aide du logiciel Zelio Soft ou en Saisie

Plus en détail

ISC21-1 --- Système d Information Architecture et Administration d un SGBD Compléments SQL

ISC21-1 --- Système d Information Architecture et Administration d un SGBD Compléments SQL ISC21-1 --- Système d Information Architecture et Administration d un SGBD Compléments SQL Jean-Marie Pécatte jean-marie.pecatte@iut-tlse3.fr 16 novembre 2006 ISIS - Jean-Marie PECATTE 1 Valeur de clé

Plus en détail

TP Modulation Démodulation BPSK

TP Modulation Démodulation BPSK I- INTRODUCTION : TP Modulation Démodulation BPSK La modulation BPSK est une modulation de phase (Phase Shift Keying = saut discret de phase) par signal numérique binaire (Binary). La phase d une porteuse

Plus en détail

PACKZ System Requirements. Version: 2015-05-27. Version: 2015-05-27 Copyright 2015, PACKZ Software GmbH. 1

PACKZ System Requirements. Version: 2015-05-27. Version: 2015-05-27 Copyright 2015, PACKZ Software GmbH. 1 PACKZ System Requirements Version: 2015-05-27 Copyright 2015, PACKZ Software GmbH. All rights reserved.this manual may not be copied, photocopied, reproduced, translated, or converted to any electronic

Plus en détail

Instructions pour mettre à jour un HFFv2 v1.x.yy v2.0.00

Instructions pour mettre à jour un HFFv2 v1.x.yy v2.0.00 Instructions pour mettre à jour un HFFv2 v1.x.yy v2.0.00 HFFv2 1. OBJET L accroissement de la taille de code sur la version 2.0.00 a nécessité une évolution du mapping de la flash. La conséquence de ce

Plus en détail

Création et Gestion des tables

Création et Gestion des tables Création et Gestion des tables Version 1.0 Z Grégory CASANOVA 2 Sommaire 1 Introduction... 3 2 Pré-requis... 4 3 Les tables... 5 3.1 Les types de données... 5 3.1.1 Les types de données Sql Server... 5

Plus en détail

Envoi de SMS par SCONET absences (Procédure d activation)

Envoi de SMS par SCONET absences (Procédure d activation) Envoi de SMS par SCONET absences (Procédure d activation) Zoubir ATOUI & Marc MONTANES page 1 sur 6 Introduction : La notification d absences et retards aux parents d élèves par l envoi de SMS nécessite

Plus en détail

01/11/2011. Machine à café - Amélioration du système existant MLK - ECST

01/11/2011. Machine à café - Amélioration du système existant MLK - ECST 01/11/2011 Machine à café - Amélioration du système existant MLK - ECST Machine à café - Amélioration du système existant Centre d intérêt : Objectif et compétences : Pré-requis : Type : CI7 Cycle de vie

Plus en détail

Tests de performance du matériel

Tests de performance du matériel 3 Tests de performance du matériel Après toute la théorie du dernier chapitre, vous vous demandez certainement quelles sont les performances réelles de votre propre système. En fait, il y a plusieurs raisons

Plus en détail

COMMANDES SQL... 2 COMMANDES DE DEFINITION DE DONNEES... 2

COMMANDES SQL... 2 COMMANDES DE DEFINITION DE DONNEES... 2 SQL Sommaire : COMMANDES SQL... 2 COMMANDES DE DEFINITION DE DONNEES... 2 COMMANDES DE MANIPULATION DE DONNEES... 2 COMMANDES DE CONTROLE TRANSACTIONNEL... 2 COMMANDES DE REQUETE DE DONNEES... 2 COMMANDES

Plus en détail

Compétences Business Objects - 2014

Compétences Business Objects - 2014 Compétences Business Objects - 2014 «Mars-Juin 2014. Réf : Version 1 Page 1 sur 34 Sommaire CONTEXTE DE LA REMISE A NIVEAU EN AUTOFORMATION... 3 1. MODELISATION... 4 1.1 DESCRIPTION FONCTIONNEL DE L'APPLICATION

Plus en détail

Fingerprinting d'applications Web

Fingerprinting d'applications Web Fingerprinting d'applications Web Nicolas Massaviol Toucan System nicolas.massaviol@ toucan-system.com Agenda Fingerprinting Serveur Web Fichiers statiques Frameworks Questions Fingerprinting Fingerprinting?

Plus en détail

Gestion d identités PSL Installation IdP Authentic

Gestion d identités PSL Installation IdP Authentic Gestion d identités PSL Installation IdP Authentic Entr ouvert SCOP http ://www.entrouvert.com 2 avril 2015 Table des matières 1 Installation du système de base 1 1.1 Rappel sur la la synchronisation des

Plus en détail

Logique séquentielle

Logique séquentielle Bascules et logique séquentielle aniel Etiemble de@lri.fr Logique séquentielle Logique séquentielle Le système a des «états» ans un système séquentiel Éléments de mémorisation Les sorties dépendent des

Plus en détail

Pré-requis pour les serveurs Windows 2003, Windows 2008 R2 et Windows 2012

Pré-requis pour les serveurs Windows 2003, Windows 2008 R2 et Windows 2012 Fiche technique AppliDis Pré-requis pour les serveurs Windows 2003, Windows 2008 R2 et Windows 2012 Fiche IS00812 Version document : 1.08 Diffusion limitée : Systancia, membres du programme Partenaires

Plus en détail

Assembleur. Faculté I&C, André Maurer, Claude Petitpierre

Assembleur. Faculté I&C, André Maurer, Claude Petitpierre Assembleur Faculté I&C, André Maurer, Claude Petitpierre INTRODUCTION Logiciel utilisé Faculté I&C, André Maurer, Claude Petitpierre MEMOIRE Mémoire Faculté I&C, André Maurer, Claude Petitpierre Mémoire

Plus en détail

Retour d expérience en Astrophysique : utilisation du Cloud IaaS pour le traitement de données des missions spatiales

Retour d expérience en Astrophysique : utilisation du Cloud IaaS pour le traitement de données des missions spatiales Retour d expérience en Astrophysique : utilisation du Cloud IaaS pour le traitement de données des missions spatiales Cécile Cavet cecile.cavet at apc.univ-paris7.fr Centre François Arago (FACe), Laboratoire

Plus en détail

COMMUTEL PRO VM3 INTERFACE GSM VOIX POUR EMULATION DE LIGNE RTC ET TRANSMETTEUR DE SMS D ALERTES ET TECHNIQUES.

COMMUTEL PRO VM3 INTERFACE GSM VOIX POUR EMULATION DE LIGNE RTC ET TRANSMETTEUR DE SMS D ALERTES ET TECHNIQUES. COMMUTEL PRO VM3 INTERFACE GSM VOIX POUR EMULATION DE LIGNE RTC ET TRANSMETTEUR DE SMS D ALERTES ET TECHNIQUES. 1. DESCRIPTION DU PRODUIT COMMUTEL PRO VM3 est un Secours GSM Canal Voix et un transmetteur

Plus en détail

Guide préparatif «Automatiser sa vigie sur Twitter avec R» 1

Guide préparatif «Automatiser sa vigie sur Twitter avec R» 1 Guide préparatif «Automatiser sa vigie sur Twitter avec R» 1 Date : Jeudi 25 juin 2015 Horaire : 9h à 16h Local : C-2059 Adresse : Université de Montréal Pavillon Lionel Groulx 3150, rue Jean-Brillant

Plus en détail

Tutoriel QSOS. Version 2.0-13/02/2013

Tutoriel QSOS. Version 2.0-13/02/2013 Version 2.0-13/02/2013 1 Table des matières 1 Note de licence 4 2 Historique des modifications 4 3 Introduction 4 4 Gérer les templates 4 4.1 Généralités sur les templates.................... 4 4.2 Créer

Plus en détail

Logique binaire. Aujourd'hui, l'algèbre de Boole trouve de nombreuses applications en informatique et dans la conception des circuits électroniques.

Logique binaire. Aujourd'hui, l'algèbre de Boole trouve de nombreuses applications en informatique et dans la conception des circuits électroniques. Logique binaire I. L'algèbre de Boole L'algèbre de Boole est la partie des mathématiques, de la logique et de l'électronique qui s'intéresse aux opérations et aux fonctions sur les variables logiques.

Plus en détail

La replication dans PostgreSQL

La replication dans PostgreSQL 10 mars 2011 Sommaire 1 Introduction 2 Les différents modes de réplication 3 La réplication par journaux Mise en place de la réplication en hot standby Limitations et critiques du hot standby Avenir du

Plus en détail

ÉTUDE DE CAS. Durée : 5 heures Coefficient : 5 CAS FEFORT ÉLÉMENTS DE CORRECTION

ÉTUDE DE CAS. Durée : 5 heures Coefficient : 5 CAS FEFORT ÉLÉMENTS DE CORRECTION BTS INFORMATIQUE DE GESTION - ISE4R SESSION 2009 ÉTUDE DE CAS Durée : 5 heures Coefficient : 5 CAS FEFORT ÉLÉMENTS DE CORRECTION Barème Dossier 1 : Architecture du réseau du siège Dossier 2 : Architecture

Plus en détail

ASR1 TD7 : Un microprocesseur RISC 16 bits

ASR1 TD7 : Un microprocesseur RISC 16 bits {Â Ö Ñ º ØÖ Ý,È ØÖ ºÄÓ Ù,Æ ÓÐ ºÎ ÝÖ Ø¹ ÖÚ ÐÐÓÒ} Ò ¹ÐÝÓÒº Ö ØØÔ»»Ô Ö Óº Ò ¹ÐÝÓÒº Ö» Ö Ñ º ØÖ Ý»¼ Ö½» ASR1 TD7 : Un microprocesseur RISC 16 bits 13, 20 et 27 novembre 2006 Présentation générale On choisit

Plus en détail

Reproductibilité des expériences de l article "Analyse et réduction du chemin critique dans l exécution d une application"

Reproductibilité des expériences de l article Analyse et réduction du chemin critique dans l exécution d une application Reproductibilité des expériences de l article "Analyse et réduction du chemin critique dans l exécution d une application" Katarzyna Porada and David Parello and Bernard Goossens Univ. Perpignan Via Domitia,

Plus en détail

Link GSM idp Manuel v1.0

Link GSM idp Manuel v1.0 Link GSM idp Manuel v1.0 Les mises à jour du manuel et du firmware sont disponibles sur : www.linkcom.fr Sommaire 1. Description basique... 3 1.1 Fonctionnalités... 3 2. Installation... 4 2.1. Assemblage

Plus en détail

Hedging delta et gamma neutre d un option digitale

Hedging delta et gamma neutre d un option digitale Hedging delta et gamma neutre d un option digitale Daniel Herlemont 1 Introduction L objectif de ce projet est d examiner la couverture delta-gamma neutre d un portefeuille d options digitales Asset-Or-Nothing

Plus en détail

SYSTEME DE PALPAGE A TRANSMISSION RADIO ETUDE DU RECEPTEUR (MI16) DOSSIER DE PRESENTATION. Contenu du dossier :

SYSTEME DE PALPAGE A TRANSMISSION RADIO ETUDE DU RECEPTEUR (MI16) DOSSIER DE PRESENTATION. Contenu du dossier : SYSTEME DE PALPAGE A TRANSMISSION RADIO ETUDE DU RECEPTEUR (MI16) DOSSIER DE PRESENTATION Contenu du dossier : 1. PRESENTATION DU SYSTEME DE PALPAGE A TRANSMISSION RADIO....1 1.1. DESCRIPTION DU FABRICANT....1

Plus en détail

Netdays 2004. Comprendre et prévenir les risques liés aux codes malicieux

Netdays 2004. Comprendre et prévenir les risques liés aux codes malicieux 1 Netdays 2004 Comprendre et prévenir les risques liés aux codes malicieux 2 Comprendre et prévenir les risques liés aux codes malicieux - Motivations - Les principaux types de codes malicieux - Les principales

Plus en détail

CHAPITRE VI ALEAS. 6.1.Généralités.

CHAPITRE VI ALEAS. 6.1.Généralités. CHAPITRE VI ALEAS 6.1.Généralités. Lors de la synthèse des systèmes logique (combinatoires ou séquentiels), nous avons supposé, implicitement, qu une même variable secondaire avait toujours la même valeur

Plus en détail

MT-703. Surveillance de niveau par sonde résistive TELEMETRIE PAR MODULE GSM CONFIGURATION ET UTILISATION

MT-703. Surveillance de niveau par sonde résistive TELEMETRIE PAR MODULE GSM CONFIGURATION ET UTILISATION TELEMETRIE PAR MODULE GSM CONFIGURATION ET UTILISATION Surveillance de niveau par sonde résistive MT-703 LOREME 12, rue des Potiers d'etain Actipole BORNY - B.P. 35014-57071 METZ CEDEX 3 Téléphone 03.87.76.32.51

Plus en détail

REALISATION d'un. ORDONNANCEUR à ECHEANCES

REALISATION d'un. ORDONNANCEUR à ECHEANCES REALISATION d'un ORDONNANCEUR à ECHEANCES I- PRÉSENTATION... 3 II. DESCRIPTION DU NOYAU ORIGINEL... 4 II.1- ARCHITECTURE... 4 II.2 - SERVICES... 4 III. IMPLÉMENTATION DE L'ORDONNANCEUR À ÉCHÉANCES... 6

Plus en détail

Utilisation de l'outil AdisTlsMonitoringTester.exe

Utilisation de l'outil AdisTlsMonitoringTester.exe Fiche technique AppliDis Utilisation de l'outil AdisTlsMonitoringTester.exe Fiche IS00326 Version document : 1.01 Diffusion limitée : Systancia, membres du programme Partenaires AppliDis et clients ou

Plus en détail

Projet audio. Analyse des Signaux ELE2700

Projet audio. Analyse des Signaux ELE2700 ÉCOLE POLYTECHNIQUE DE MONTRÉAL Département de Génie Électrique Projet audio Analyse des Signaux ELE2700 Saad Chidami - 2014 Table des matières Objectif du laboratoire... 4 Caractérisation du bruit...

Plus en détail

TABLE DES MATIERES A OBJET PROCEDURE DE CONNEXION

TABLE DES MATIERES A OBJET PROCEDURE DE CONNEXION 1 12 rue Denis Papin 37300 JOUE LES TOURS Tel: 02.47.68.34.00 Fax: 02.47.68.35.48 www.herve consultants.net contacts@herve consultants.net TABLE DES MATIERES A Objet...1 B Les équipements et pré-requis...2

Plus en détail

ALERT NOTICE D UTILISATION DU DRIVER SIA

ALERT NOTICE D UTILISATION DU DRIVER SIA ALERT NOTICE D UTILISATION DU DRIVER SIA 1) Installation A) Installation du modem SIA Le modem SIA doit être connecté sur un port série du PC (COM1, ) et raccordé sur une ligne téléphonique analogique.

Plus en détail

Solution A La Gestion Des Objets Java Pour Des Systèmes Embarqués

Solution A La Gestion Des Objets Java Pour Des Systèmes Embarqués International Journal of Engineering Research and Development e-issn: 2278-067X, p-issn: 2278-800X, www.ijerd.com Volume 7, Issue 5 (June 2013), PP.99-103 Solution A La Gestion Des Objets Java Pour Des

Plus en détail

Signature électronique sécurisée. Manuel d installation

Signature électronique sécurisée. Manuel d installation Signature électronique sécurisée Manuel d installation POINTS IMPORTANTS Dès réception de votre Ikey, un code PIN (Numéro d Identification Personnel) vous est attribué de manière aléatoire. Ce code PIN

Plus en détail

Unity Real Time 2.0 Service Pack 2 update

Unity Real Time 2.0 Service Pack 2 update Unity Real Time 2.0 Service Pack 2 update Configuration des Objectifs Analytiques La nouvelle version permet, en un écran, de configurer un lot, un panel ou un instrument. Le menu est accessible au moyen

Plus en détail

Le multiplexage. Sommaire

Le multiplexage. Sommaire Sommaire Table des matières 1- GENERALITES... 2 1-1 Introduction... 2 1-2 Multiplexage... 4 1-3 Transmission numérique... 5 2- LA NUMERATION HEXADECIMALE Base 16... 8 3- ARCHITECTURE ET PROTOCOLE DES RESEAUX...

Plus en détail

Installation et compilation de gnurbs sous Windows

Installation et compilation de gnurbs sous Windows Installation et compilation de gnurbs sous Windows Installation de l environnement de développement Code::Blocks (Environnement de développement) 1. Télécharger l installateur de Code::Blocks (version

Plus en détail

TUTORIAL DE CREATION D UN

TUTORIAL DE CREATION D UN TUTORIAL DE CREATION D UN COMPTE DYNDNS (ED 2) 1) WWW.DYNDNS.COM... 1 2) CREATION DU COMPTE :... 1 A) CLIQUER SUR «CREATE ACCOUNT»... 1 B) RENSEIGNEMENT DES DONNEES DU COMPTE :... 1 C) UNE FOIS LE COMPTE

Plus en détail

Variateurs de vitesse

Variateurs de vitesse Présentation, description Altivar Présentation La carte programmable Controller Inside permet d adapter le variateur de vitesse aux applications spécifi ques par l intégration des fonctions d automatisme.

Plus en détail

SugarCubes. Jean-Ferdinand Susini Maître de Conférences, CNAM Chaire systèmes enfouis et embarqués. Paris, le 9 janvier, 2009

SugarCubes. Jean-Ferdinand Susini Maître de Conférences, CNAM Chaire systèmes enfouis et embarqués. Paris, le 9 janvier, 2009 SugarCubes Jean-Ferdinand Susini Maître de Conférences, CNAM Chaire systèmes enfouis et embarqués Paris, le 9 janvier, 2009 Plan 2 Les SugarCubes au dessus de J2ME Quelques résultats expérimentaux Les

Plus en détail

Table des matières PRESENTATION DU LANGAGE DS2 ET DE SES APPLICATIONS. Introduction

Table des matières PRESENTATION DU LANGAGE DS2 ET DE SES APPLICATIONS. Introduction PRESENTATION DU LANGAGE DS2 ET DE SES APPLICATIONS Depuis SAS 9.2 TS2M3, SAS propose un nouveau langage de programmation permettant de créer et gérer des tables SAS : le DS2 («Data Step 2»). Ces nouveautés

Plus en détail

27/11/12 Nature. SDK Python et Java pour le développement de services ACCORD Module(s)

27/11/12 Nature. SDK Python et Java pour le développement de services ACCORD Module(s) Propriétés du Document Source du Document SDK_accords.odt Titre du Document SDK Python et Java pour le développement de services ACCORD Module(s) PyaccordsSDK, JaccordsSDK Responsable Prologue Auteur(s)

Plus en détail

RÉALISATION ET MISE AU POINT D UN SYSTÈME DE TÉLÉSURVEILLANCE VIDÉO

RÉALISATION ET MISE AU POINT D UN SYSTÈME DE TÉLÉSURVEILLANCE VIDÉO E.N.S.E.R.B. Romain COMBELAS Frédéric BONNIN 3ème année. Option TIC MÉMOIRE DE FIN D ÉTUDES RÉALISATION ET MISE AU POINT D UN SYSTÈME DE TÉLÉSURVEILLANCE VIDÉO Encadré par : M. Patrice KADIONIK M. Yannick

Plus en détail

sommaire Archives... Archiver votre messagerie... Les notes... Les règles de messagerie... Les calendriers partagés... 15 Les listes de diffusions...

sommaire Archives... Archiver votre messagerie... Les notes... Les règles de messagerie... Les calendriers partagés... 15 Les listes de diffusions... sommaire Votre solution de messagerie Futur Office évolue. Pour préparer au mieux la migration qui aura lieu le week-end du 23-24 Juin, nous vous conseillons de réaliser les actions préalables décrites

Plus en détail

1/24. I passer d un problème exprimé en français à la réalisation d un. I expressions arithmétiques. I structures de contrôle (tests, boucles)

1/24. I passer d un problème exprimé en français à la réalisation d un. I expressions arithmétiques. I structures de contrôle (tests, boucles) 1/4 Objectif de ce cours /4 Objectifs de ce cours Introduction au langage C - Cours Girardot/Roelens Septembre 013 Du problème au programme I passer d un problème exprimé en français à la réalisation d

Plus en détail

IUT BREST UN LOGICIEL SCADA : PC VUE 2010 DEP.GMP

IUT BREST UN LOGICIEL SCADA : PC VUE 2010 DEP.GMP IUT BREST DEP.GMP UN LOGICIEL SCADA : PC VUE 2010 Table des matières 1. Introduction à la supervision- logiciel SCADA... 4 1.A. Définition d un logiciel SCADA /Supervision... 4 1.B. Ou trouve-t-on des

Plus en détail

1 Configuration des Fichiers Hosts, Hostname, Resolv.conf

1 Configuration des Fichiers Hosts, Hostname, Resolv.conf INSTALLATION ET CONFIGURATION ZIMBRA SUR DEBIAN 4.0 ETCH Zimbra est une solution Open Source d e-mail et de partage de calendrier destinée aux entreprises, aux prestataires de service, aux institutions

Plus en détail

Indicateur de position PI-D2100 Guide de l utilisateur

Indicateur de position PI-D2100 Guide de l utilisateur Indicateur de position PI-D2100 Guide de l utilisateur Notre distributeur Automatisation JRT Inc. 405, avenue Galilée Québec (Québec) Canada G1P 4M6 Téléphone : (418) 871-6016 Sans frais : 1-877-871-6016

Plus en détail

Groupe Eyrolles, 2003, ISBN : 2-212-11317-X

Groupe Eyrolles, 2003, ISBN : 2-212-11317-X Groupe Eyrolles, 2003, ISBN : 2-212-11317-X 3 Création de pages dynamiques courantes Dans le chapitre précédent, nous avons installé et configuré tous les éléments indispensables à la mise en œuvre d une

Plus en détail

Fiche technique CPU 314SC/DPM (314-6CG13)

Fiche technique CPU 314SC/DPM (314-6CG13) Fiche technique CPU 314SC/DPM (3146CG13) Données techniques N de commande 3146CG13 Type CPU 314SC/DPM Information générale Note Caractéristiques SPEEDBus Technologie SPEED7 24 x DI, 16 x DO, 8 x DIO, 4

Plus en détail

FICHE UE Licence/Master Sciences, Technologies, Santé Mention Informatique

FICHE UE Licence/Master Sciences, Technologies, Santé Mention Informatique NOM DE L'UE : Algorithmique et programmation C++ LICENCE INFORMATIQUE Non Alt Alt S1 S2 S3 S4 S5 S6 Parcours : IL (Ingénierie Logicielle) SRI (Systèmes et Réseaux Informatiques) MASTER INFORMATIQUE Non

Plus en détail

CLB FR/ANG 7/05/04 14:52 Page 1. Clavier de commande radio CLB800HF NOTICE D'UTILISATION EKZ 0107 00B

CLB FR/ANG 7/05/04 14:52 Page 1. Clavier de commande radio CLB800HF NOTICE D'UTILISATION EKZ 0107 00B CLB FR/ANG 7/05/04 14:52 Page 1 NOTICE D'UTILISATION EKZ 0107 00B Clavier de commande radio CLB800HF 4ghi 7pqrs 2abc 5jkl 8tuv 0 0 Menu 3def 6mno 9wxyz CLB FR/ANG 7/05/04 14:52 Page 2 Nous vous remercions

Plus en détail