Universal Asynchronous Receiver Transmitter Liaison série asynchrone

Dimension: px
Commencer à balayer dès la page:

Download "Universal Asynchronous Receiver Transmitter Liaison série asynchrone"

Transcription

1 Universal Asynchronous Receiver Transmitter Liaison série asynchrone Nous allons nous intéresser à un composant UART permettant de transmettre et recevoir des données à travers un port série standard RS232. La communication série nécessite 2 signaux : TxD et RxD. Ce dernier se réfère aux données reçues, et le premier aux données transmises. Le port série standard est composé de 9 broches : on peut le trouver notamment sur des PC, avec généralement un connecteur mâle disposant de 2 broches pour le RxD et 3 pour le TxD 1. Lorsque les connecteurs sont reliés, le TxD de l un est connecté au RxD de l autre, et vice versa, afin que les données transmises par l un soient reçues par l autre. Les données sont transférées à une vitesse spécifique que l on appelle baud rate, et qui s exprime en bits par seconde. On trouve classiquement des baud rates de 4800, 9600, 56k et 115,5k. L émetteur et le récepteur doivent s accorder sur le baud rate, le nombre de bits de données, la parité, le nombre de bits de stop. Dans la plupart des implémentations, on transmet de 5 à 8 bits de données, avec parité paire, impaire ou sans parité, et un ou deux bits de stop. Au cours de cet examen, afin de simplifier, nous utiliserons 8 bits de données, pas de parité et 1 bit de stop. La transmission série est synchronisée par le biais d un bit de start qui précède la transmission et un bit de stop qui indique que le dernier bit a été transmis, ce qui termine l envoie de l octet de donnée. Les codes ASCII sont souvent utilisés pour communiquer entre un PC et un appareil. La figure 1 montre la séquence de bit pour la transmission du code ASCII de «T», 0x54. Figure 1 : Code ASCII 0x54 = («T») transmis sans parité Nous allons nous focaliser ici sur la conception du composant uart_tx pour transmettre les données en série suivant le protocole défini ci-dessus. La figure 2 illustre l interface de ce composant. Figure 2. Interface du composant UART_TX Ce composant dispose d une entrée clk pour synchroniser la communication et rst pour l initialiser. Un octet de données est positionné sur l entrée Tx_data. Quand le port ready est à l état haut, cet octet est transmis sur TxD en commençant par le LSB. La transmission commence par l émission du bit de start (passage de l état de 1 à 0 ). La durée de 1 bit dépend du baud rate comme nous l avons vu auparavant. Après ce bit de start commence la transmission de l octet de data, en commençant par le bit 0 (LSB), bit 1, bit 2, etc., jusqu au bit 7 (MSB) et enfin le bit de stop qui marque la fin de la trame série. Le diagramme d états décrivant le fonctionnement du bloc UART_TX est représenté sur la figure 3. 1 Sur la Nexys 3, il s agit d un USB UART, qui tend à remplacer les connexions traditionnelles. Il peut néanmoins s utiliser exactement de la même manière.

2 Figure 3. Diagramme d états simplifié pour la transmission des données en série 1) D après ce que nous avons vu en cours, comment se nomme ce diagramme d états? Il s agit d une Machine à Etats Finis. 2) Dans cette représentation, les sorties ne dépendent que de l état courant : de quel «type» de machine s agit-il? Machine de MOORE. (cf. cours MEF) 3) Précisez à quoi se réfèrent les éléments en vert, en rouge et en bleu, les cercles et les arcs. VERT -> NOM DES ETATS, ROUGE -> ENTREES, BLEU -> SORTIES, CERCLES -> ETATS, ARCS -> TRANSITIONS 4) Le composant UART_TX est cadencé par clk, une horloge à 100MHz. Déterminer le nombre de coups d horloges nécessaires à cette fréquence pour obtenir un baud rate de 9600 bauds bauds correspond à 9600 bits par seconde, à 100 MHz il faut (= /9600) coups d horloge pour obtenir ce baud rate. 5) réaliser la conception du bloc UART_TX en expliquant votre démarche et le choix des composants. Indications supplémentaires: Le générateur d horloge interne :

3 Utiliser un générateur d horloge interne au bloc UART_TX, qui permet de générer une horloge clk_tx correspondant à une impulsion de 10ns toutes les secondes use IEEE.STD_LOGIC_UNSIGNED.ALL; entity UART_TX_CLK_GEN is Port ( mclk : in STD_LOGIC; MHz clk_tx : out STD_LOGIC); end UART_TX_CLK_GEN; architecture Behavioral of UART_TX_CLK_GEN is signal count: std_logic_vector(27 downto 0); constant N: std_logic_vector(27 downto 0):=x"5F5E100"; -- pour un clk_tx de 1s --constant N: std_logic_vector(27 downto 0):=x" "; -- pour la simul, on prend N petit process(mclk,rst) if rst='1' then count<=(others=>'0'); clk_tx<='0'; elsif mclk'event and mclk='1' then if count=n then clk_tx<='1'; count<=(others=>'0'); else clk_tx<='0'; count<= count+1; end process; Le registre de données à décalage: Utiliser un registre à décalage interne au bloc UART_TX permettant de charger les données tx_data dans l état IDLE (commande load), et sortir en série (commande shift) ces mêmes données telles que cela est décrit dans la figure 1. entity UART_TX_BUFF is Port ( clk_tx : in STD_LOGIC;

4 tx_data_par : in STD_LOGIC_vector(7 downto 0); shift : in STD_LOGIC; load : in STD_LOGIC; tx_data_ser : out STD_LOGIC); end UART_TX_BUFF; architecture Behavioral of UART_TX_BUFF is signal tx_data_buf: std_logic_vector(7 downto 0); process(rst,clk_tx) if rst='1' then tx_data_ser<='0'; elsif clk_tx'event and clk_tx='1' then if load='1' then tx_data_buf<=tx_data_par; if shift='1' then tx_data_ser<=tx_data_buf(0); tx_data_buf(6 downto 0)<= tx_data_buf(7 downto 1); end process; Le compteur de bits : Si ce composant n est pas explicitement mentionné dans l énoncé, il apparaît nécessaire de compter le nombre de bits à transmettre tel qu on l observe dans la figure 3 (état SEND). S il est tentant (et possible) de placer le processus de comptage directement dans la MEF, ceci est cependant déconseillé. Il complexifie inutilement la description de la MEF, et il rend sa vérification plus hasardeuse. Pour cette raison, on préfèrera toujours (du moins avant d avoir acquis suffisamment d expérience) une approche «Divide and conquer». On propose donc de passer par un composant très simple réalisant la fonction de comptage tel que décrit ci-dessous. use IEEE.STD_LOGIC_UNSIGNED.ALL; entity bit_count is Port ( clk : in STD_LOGIC; ena : in STD_LOGIC; count : out STD_LOGIC_VECTOR (2 downto 0)); end bit_count; architecture Behavioral of bit_count is signal count_int: STD_LOGIC_VECTOR (2 downto 0); process(clk, rst) if rst='1' then count_int<="000"; elsif clk'event and clk='1' then if ena='1' then count_int<= count_int+1;

5 end process; count<=count_int; Le multiplexeur de sortie: Une fois encore, ce composant n est pas directement explicité dans l énoncé. Il n est pas indispensable, mais il permet de dissocier la gestion des commandes et la transmission des données. entity UART_TX_OUTMUX is Port ( tx_data_ser : in STD_LOGIC; sel : in STD_LOGIC_VECTOR (1 downto 0); TxD : out STD_LOGIC); end UART_TX_OUTMUX; architecture Behavioral of UART_TX_OUTMUX is mux: process(tx_data_ser, sel) case sel is when "00" => TxD <='1'; -- IDLE / STOP when "01" => TxD <='0'; -- START when "10" => TxD <= tx_data_ser; -- SEND when others => TxD <= '1'; end case; end process; Le contrôleur de l UART : Le contrôleur va être implémenté en suivant le modèle de la MEF décrit dans la figure 3. Si l on récapitule, il y a 3 composants qui doivent être pilotés par cette MEF : le buffer de données, le compteur et le multiplexeur de sortie. La liste des commandes à générer est donc la suivante : - shift et load (registre de données) - ena (compteur) - TxD_mux (multiplexeur de sortie) On rajoute également un indicateur visuel (led) pour observer l état courant sur la carte FPGA. Ceci fixe donc les sorties du contrôleur. Pour les entrées, il y a l horloge (clk_tx) et le reset (rst), mais aussi le signal count et le signal ready (cf. figure 2). Nous pouvons donc à partir de là décrire l interface de notre contrôleur d UART :

6 entity UART_TX_CTRL is Port ( ready : in STD_LOGIC; clk_tx : in STD_LOGIC; count : in STD_LOGIC_VECTOR (2 downto 0); shift : out STD_LOGIC; load : out STD_LOGIC; ena : out STD_LOGIC; TxD_mux : out STD_LOGIC_VECTOR(1 DOWNTO 0); led : out STD_LOGIC_VECTOR (3 downto 0)); end UART_TX_CTRL; Pour l architecture, nous allons suivre la méthode vue en cours basée sur les 2 process. Mais avant de rentrer dans le codage, on prend soin de compléter la description de la MEF : Ce qui donne pour le code de l architecture : architecture Behavioral of UART_TX_CTRL is TYPE etat_4 IS (IDLE, START, SEND, STOP); SIGNAL etat, nextetat :etat_4 ; --2 signaux :etats courant et suivant seq: PROCESS( rst, clk_tx) -- "definir_etat" :label optionnel BEGIN If rst = '1' THEN etat <= IDLE; ELSIF rising_edge(clk_tx) THEN etat <= nextetat; END IF; END PROCESS; combi: process (etat, ready, count) BEGIN CASE etat IS WHEN IDLE => TxD_mux <="00"; --Sortie IDLE -> '1'

7 shift <= '0'; --Décalage Y/N (1=Y) load<='1'; ena <='0'; --Comptage Y/N (1=Y) led <="0001"; --Affichage LED ETAT IDLE if ready='1' then nextetat <= START; --Etat suivant si demande d'envoi else nextetat <= IDLE; --Sinon IDLE WHEN START => TxD_mux <="01"; --Sortie START -> '0' load<='0'; shift <= '1'; ena <='0'; led <="0010"; nextetat <= SEND; WHEN SEND => TxD_mux <="10"; --La sortie vaut celle du registre à décalage load<='0'; shift <= '1'; ena <='1'; led <="0100"; if count = "111" then nextetat <= STOP; --Count=8 => 8 bits envoyés else nextetat <= SEND; --Sinon on continue d'envoyer WHEN STOP => TxD_mux <="00"; --Sortie IDLE -> '1' load<='0'; shift <= '0'; ena <='0'; led <="1000"; nextetat <= IDLE; END CASE; END process; Schéma de l architecture globale : Il faut maintenant créer le composant UART_TX_TOP qui va nous permettre d instancier l ensemble des blocs précédents. L interface est décrite dans le bout de code suivant : entity UART_TX_TOP is Port ( mclk : in STD_LOGIC; tx_data : in STD_LOGIC_VECTOR (7 downto 0); ready : in STD_LOGIC; TxD : out STD_LOGIC; led : out STD_LOGIC_VECTOR (3 downto 0)); end UART_TX_TOP; Pour connecter ces composants, il faut évidemment passer par des signaux internes non présents dans la liste des ports. L architecture finale est décrite dans la copie d écran d ISE suivante.

8 La simulation (en choisissant une valeur de N adéquate dans le générateur de CLK_TX) doit permettre de valider l enchaînement des états ainsi que la transmission des données en série. Implémentation sur carte NEXYS 3 : Il ne reste plus alors qu à adapter le TOP pour bénéficier des entrées / sorties de la carte et valider le fonctionnement de la transmission série. Pour cela, on peut par exemple utiliser l interface suivante, en veillant bien à éditer correctement le fichier UCF : entity UART_TX_TOP_NEXYS3 is Port ( mclk : in STD_LOGIC; btnu : in STD_LOGIC; btns : in STD_LOGIC; Led : out STD_LOGIC_VECTOR (4 downto 0); sw : in STD_LOGIC_VECTOR (7 downto 0)); end UART_TX_TOP_NEXYS3; On utilise dans ce cas les switch pour générer l octet à transmettre, la 5 ème Led est utilisée pour vérifier la transmission série de cet octet, btnu et btns sont utilisés pour le reset et le signal «ready». On peut bien sûr améliorer encore l interface, en utilisant par exemple les afficheurs 7-segments pour afficher les bits transmis.

Manipulations du laboratoire

Manipulations du laboratoire Manipulations du laboratoire 1 Matériel Les manipulations de ce laboratoire sont réalisées sur une carte électronique comprenant un compteur 4-bit asynchrone (74LS93) avec possibilité de déclenchement

Plus en détail

Transmissions série et parallèle

Transmissions série et parallèle 1. Introduction : Un signal numérique transmet généralement plusieurs digits binaires. Exemple : 01000001 ( huit bits). Dans une transmission numérique on peut envisager deux modes : les envoyer tous en

Plus en détail

Le langage VHDL. Eduardo Sanchez EPFL

Le langage VHDL. Eduardo Sanchez EPFL Le langage VHDL Eduardo Sanchez EPFL Livres conseillés: John F. Wakerly Digital design (4th edition) Prentice Hall, 2005 Peter J. Ashenden The designer's guide to VHDL (3rd edition) Morgan Kaufmann, 2008

Plus en détail

Université de La Rochelle. Réseaux TD n 6

Université de La Rochelle. Réseaux TD n 6 Réseaux TD n 6 Rappels : Théorème de Nyquist (ligne non bruitée) : Dmax = 2H log 2 V Théorème de Shannon (ligne bruitée) : C = H log 2 (1+ S/B) Relation entre débit binaire et rapidité de modulation :

Plus en détail

SIN-FPGA DESCRIPTION PAR SCHEMA

SIN-FPGA DESCRIPTION PAR SCHEMA SIN-FPGA DESCRIPTION PAR SCHEMA Documents ressources: http://www.altera.com/literature/lit-index.html Introduction to Quartus II : intro_to_quartus2.pdf Documentation QUARTUS II : quartusii_handbook.pdf

Plus en détail

Comme chaque ligne de cache a 1024 bits. Le nombre de lignes de cache contenu dans chaque ensemble est:

Comme chaque ligne de cache a 1024 bits. Le nombre de lignes de cache contenu dans chaque ensemble est: Travaux Pratiques 3. IFT 1002/IFT 1005. Structure Interne des Ordinateurs. Département d'informatique et de génie logiciel. Université Laval. Hiver 2012. Prof : Bui Minh Duc. Tous les exercices sont indépendants.

Plus en détail

2 Raccordement d une imprimante, d un terminal ou d un ordinateur au DULCOMARIN

2 Raccordement d une imprimante, d un terminal ou d un ordinateur au DULCOMARIN 1 Généralités L interface sérielle selon RS 232 sert exclusivement à l édition automatique des valeurs mesurées et des messages du DULCOMARIN sur une imprimante ou un PC. La longueur de caractères d une

Plus en détail

ANALYSE TRAMEs LIAISON SERIE

ANALYSE TRAMEs LIAISON SERIE ANALYSE TRAMEs LIAISON SERIE 1 Objectifs de ce travail Nous allons étudier dynamiquement la liaison série en utilisant la simulation. La mise en œuvre des liaisons séries simulées et de TestCom est expliquée

Plus en détail

Algorithmique des Systèmes Répartis Protocoles de Communications

Algorithmique des Systèmes Répartis Protocoles de Communications Algorithmique des Systèmes Répartis Protocoles de Communications Master Informatique Dominique Méry Université de Lorraine 1 er avril 2014 1 / 70 Plan Communications entre processus Observation et modélisation

Plus en détail

EPREUVE OPTIONNELLE d INFORMATIQUE CORRIGE

EPREUVE OPTIONNELLE d INFORMATIQUE CORRIGE EPREUVE OPTIONNELLE d INFORMATIQUE CORRIGE QCM Remarque : - A une question correspond au moins 1 réponse juste - Cocher la ou les bonnes réponses Barème : - Une bonne réponse = +1 - Pas de réponse = 0

Plus en détail

PIC EVAL Dev Board PIC18F97J60

PIC EVAL Dev Board PIC18F97J60 PIC EVAL Dev Board PIC18F97J60 2 TP1 : Prise en main de l environnement de programmation pour la carte PIC EVAL-ANFA Pour répondre aux questions et justifier vos réponses, vous pouvez faire des copies

Plus en détail

Master d'informatique 1ère année Réseaux et protocoles. Couche physique

Master d'informatique 1ère année Réseaux et protocoles. Couche physique Master d'informatique 1ère année Réseaux et protocoles Couche physique Bureau S3-354 Mailto:Jean.Saquet@unicaen.fr http://saquet.users.greyc.fr/m1/rezopro Supports de communication Quelques exemples :

Plus en détail

Projet Active Object

Projet Active Object Projet Active Object TAO Livrable de conception et validation Romain GAIDIER Enseignant : M. Noël PLOUZEAU, ISTIC / IRISA Pierre-François LEFRANC Master 2 Informatique parcours MIAGE Méthodes Informatiques

Plus en détail

Système M-Bus NIEVEAU TERRAIN NIVEAU AUTOMATION NIVEAU GESTION. Domaines d'application

Système M-Bus NIEVEAU TERRAIN NIVEAU AUTOMATION NIVEAU GESTION. Domaines d'application 5 657 ENERGY MONITORING ET CONTROLLING EMC MeterProxy pour M-Bus CSM30.001 L'Energy Monitoring & Controlling EMC est un outil de gestion d'énergie d'utilisation aisée pour la surveillance et le contrôle

Plus en détail

Architecture des ordinateurs TD1 - Portes logiques et premiers circuits

Architecture des ordinateurs TD1 - Portes logiques et premiers circuits Architecture des ordinateurs TD1 - Portes logiques et premiers circuits 1 Rappel : un peu de logique Exercice 1.1 Remplir la table de vérité suivante : a b a + b ab a + b ab a b 0 0 0 1 1 0 1 1 Exercice

Plus en détail

SUR MODULE CAMÉRA C38A (OV7620)

SUR MODULE CAMÉRA C38A (OV7620) Applications maquette d'étude EP10K20 DÉMULTIPLEXEUR BT.656 SUR MODULE CAMÉRA C38A OV7620 SCHÉMAS ET DESCRIPTIONS AHDL 1. Schéma principal Le démultiplexeur proprement dit est la fonction "Decod_BT656_1".

Plus en détail

T. BLOTIN Lycée Paul-Eluard 93206 SAINT-DENIS

T. BLOTIN Lycée Paul-Eluard 93206 SAINT-DENIS T. BLOTIN Lycée Paul-Eluard 93206 SAINT-DENIS SOMMAIRE I. Le VHDL pour qui, pourquoi, quand, comment? A. Le VHDL!...... 1 B. Pourquoi un langage de description?...... 1 C. Les limites actuelles...... 2

Plus en détail

Hubert & Bruno Lundi 12 octobre 2009 SAINT-QUENTIN (02)

Hubert & Bruno Lundi 12 octobre 2009 SAINT-QUENTIN (02) Hubert & Bruno Lundi 12 octobre 2009 SAINT-QUENTIN (02) Ne rien livrer au hasard, c est économiser du travail Pont Sainte Maxence(O C est quoi USB? Comment ça marche? Les standards? La technique en détail

Plus en détail

Millenium3 Atelier de programmation

Millenium3 Atelier de programmation Millenium3 Millenium 3 Millenium3 1. Aide en ligne CLSM3... 2 1.1 Présentation de l'atelier de programmation... 2 1.1.1 Présentation de l'atelier de programmation... 2 1.2 Comment débuter avec l'atelier

Plus en détail

ARDUINO DOSSIER RESSOURCE POUR LA CLASSE

ARDUINO DOSSIER RESSOURCE POUR LA CLASSE ARDUINO DOSSIER RESSOURCE POUR LA CLASSE Sommaire 1. Présentation 2. Exemple d apprentissage 3. Lexique de termes anglais 4. Reconnaître les composants 5. Rendre Arduino autonome 6. Les signaux d entrée

Plus en détail

Conception Systèmes numériques VHDL et synthèse automatique des circuits

Conception Systèmes numériques VHDL et synthèse automatique des circuits Année 2008-2009 Conception Systèmes numériques VHDL et synthèse automatique des circuits Travaux pratiques Pentium4 Présentation du simulateur VHDL sous environnement Cadence Présentation de l outil Synopsys

Plus en détail

Licence Sciences et Technologies Examen janvier 2010

Licence Sciences et Technologies Examen janvier 2010 Université de Provence Introduction à l Informatique Licence Sciences et Technologies Examen janvier 2010 Année 2009-10 Aucun document n est autorisé Les exercices peuvent être traités dans le désordre.

Plus en détail

Description d'une liaison

Description d'une liaison escription d'une liaison I. Constitution d'une liaison...2 II. Modes d'exploitation...2 III. Normalisation des jonctions...2 III.1. Norme V28 (RS232) 3 III.2. Norme V11 (RS422 et RS485) 4 IV. Liaison V24

Plus en détail

Modules d automatismes simples

Modules d automatismes simples Modules d automatismes simples Solutions pour automatiser Modules d'automatismes Enfin, vraiment simple! Un concentré de solution Pour vos petites applications d'automatismes millenium gère : Temporisations

Plus en détail

GPA770 Microélectronique appliquée Exercices série A

GPA770 Microélectronique appliquée Exercices série A GPA770 Microélectronique appliquée Exercices série A 1. Effectuez les calculs suivants sur des nombres binaires en complément à avec une représentation de 8 bits. Est-ce qu il y a débordement en complément

Plus en détail

ASR1 TD7 : Un microprocesseur RISC 16 bits

ASR1 TD7 : Un microprocesseur RISC 16 bits {Â Ö Ñ º ØÖ Ý,È ØÖ ºÄÓ Ù,Æ ÓÐ ºÎ ÝÖ Ø¹ ÖÚ ÐÐÓÒ} Ò ¹ÐÝÓÒº Ö ØØÔ»»Ô Ö Óº Ò ¹ÐÝÓÒº Ö» Ö Ñ º ØÖ Ý»¼ Ö½» ASR1 TD7 : Un microprocesseur RISC 16 bits 13, 20 et 27 novembre 2006 Présentation générale On choisit

Plus en détail

Ordinateurs, Structure et Applications

Ordinateurs, Structure et Applications Ordinateurs, Structure et Applications Cours 19, Le USB Etienne Tremblay Université Laval, Hiver 2012 Cours 19, p.1 USB signifie Universal Serial Bus USB Le USB a été conçu afin de remplacer le port série

Plus en détail

Réalisation d un réseau de capteurs de température sans fil basé sur le protocole ZigBee

Réalisation d un réseau de capteurs de température sans fil basé sur le protocole ZigBee Cedric BEAUSSE Mohamed BOUGUERRA Hong Yu GUAN El Ayachi MOKTAD Projet avancé en systèmes embarqués 2006 / 2007 Réalisation d un réseau de capteurs de température sans fil basé sur le protocole ZigBee Sommaire

Plus en détail

Master e-secure. VoIP. RTP et RTCP

Master e-secure. VoIP. RTP et RTCP Master e-secure VoIP RTP et RTCP Bureau S3-354 Mailto:Jean.Saquet@unicaen.fr http://saquet.users.greyc.fr/m2 Temps réel sur IP Problèmes : Mode paquet, multiplexage de plusieurs flux sur une même ligne,

Plus en détail

NanoSense. Protocole Modbus de la sonde Particules P4000. (Version 01F)

NanoSense. Protocole Modbus de la sonde Particules P4000. (Version 01F) NanoSense 123 rue de Bellevue, 92100 Boulogne Billancourt France Tél : 33-(0) 1 41 41 00 02, fax : 33-(0) 1 41 41 06 72 Protocole Modbus de la sonde Particules P4000 (Version 01F) Ver V01A V01B V01C V01D

Plus en détail

Projet M1 Année scolaire 2013/2014

Projet M1 Année scolaire 2013/2014 Institut Supérieur de l Électronique et du Numérique Tél. : +33 (0)2.98.03.84.00 Fax : +33 (0)2.98.03.84.10 20, rue Cuirassé Bretagne CS 42807-29228 BREST Cedex 2 - FRANCE Projet M1 Année scolaire 2013/2014

Plus en détail

INSTALLATION CARACTERISTIQUES TECHNIQUES LOGICIEL DE CONFIGURATION

INSTALLATION CARACTERISTIQUES TECHNIQUES LOGICIEL DE CONFIGURATION INSTALLATION CARACTERISTIQUES TECHNIQUES LOGICIEL DE CONFIGURATION Copie de la notice originale FRANCAIS 8540945-28/05/2015 - Rev.4 1 Sommaire INTRODUCTION... 3 Disposition des rayons... 3 RACCORDEMENTS

Plus en détail

ANNEXE 5 (1 page) MIC2920x

ANNEXE 5 (1 page) MIC2920x ²² ANNEXE 5 (1 page) MIC2920x Coefficient : 5 DT 7/ 29 ANNEXE 6 (1 page) - ADG 719 Coefficient : 5 DT 8/ 29 ANNEXE 7 (3 pages) - ESDAxxSCy Coefficient : 5 DT 9/ 29 ANNEXE 7 (suite) Coefficient : 5 DT 10/

Plus en détail

La norme Midi et JavaSound

La norme Midi et JavaSound La norme Midi et JavaSound V 1.0-14.2.2006 (update Fev. 07) Jacques Ferber LIRMM - Université Montpellier II 161 rue Ada 34292 Montpellier Cedex 5 Email: ferber@lirmm.fr Home page: www.lirmm.fr/~ferber

Plus en détail

NOTICE D UTILISATION ET D INSTALLATION. de la CARTE MONITORING DE RELAIS «IO-MONITOR»

NOTICE D UTILISATION ET D INSTALLATION. de la CARTE MONITORING DE RELAIS «IO-MONITOR» NOTICE D UTILISATION ET D INSTALLATION de la CARTE MONITORING DE RELAIS «IO-MONITOR» Z.A.C. de la Plaine 1 rue Brindejonc des moulinais B.P. 45804 31505 TOULOUSE CX 5 Tél. : 05.61.36.03.03 Fax : 05.61.36.03.00

Plus en détail

Algorithmique et programmation : les bases (VBA) Corrigé

Algorithmique et programmation : les bases (VBA) Corrigé PAD INPT ALGORITHMIQUE ET PROGRAMMATION 1 Cours VBA, Semaine 1 mai juin 2006 Corrigé Résumé Ce document décrit l écriture dans le langage VBA des éléments vus en algorithmique. Table des matières 1 Pourquoi

Plus en détail

Mentions légales (non traduites)... 3. 1. Introduction... 4. 2. Légendes... 4. 3. Schémas de raccordement... 5. 4. Configuration de la S16...

Mentions légales (non traduites)... 3. 1. Introduction... 4. 2. Légendes... 4. 3. Schémas de raccordement... 5. 4. Configuration de la S16... 1 2 Table des matières Consignes de sécurité importantes (non traduites)... 3 Mentions légales (non traduites)... 3 Garantie limitée (non traduite)... 3 1. Introduction... 4 2. Légendes... 4 3. Schémas

Plus en détail

Dossier technique. Présentation du bus DMX et Utilisation des options EL13 / EL14 ERM AUTOMATISMES INDUSTRIELS 1 LE PROTOCOLE DMX 2

Dossier technique. Présentation du bus DMX et Utilisation des options EL13 / EL14 ERM AUTOMATISMES INDUSTRIELS 1 LE PROTOCOLE DMX 2 ERM AUTOMATISMES INDUSTRIELS 280 Rue Edouard Daladier 84973 CARPENTRAS Cedex Tél : 04 90 60 05 68 - Fax : 04 90 60 66 26 Site : http://www.erm-automatismes.com/ E-Mail : Contact@erm-automatismes.com 1

Plus en détail

Conception Systèmes numériques VHDL et synthèse automatique des circuits

Conception Systèmes numériques VHDL et synthèse automatique des circuits Année 2011-2012 Conception Systèmes numériques VHDL et synthèse automatique des circuits Travaux pratiques WIDEMACV1 LAAS-CNRS 2011 Présentation du simulateur VHDL sous environnement Cadence Présentation

Plus en détail

ALERT NOTICE D UTILISATION DU DRIVER SIA

ALERT NOTICE D UTILISATION DU DRIVER SIA ALERT NOTICE D UTILISATION DU DRIVER SIA 1) Installation A) Installation du modem SIA Le modem SIA doit être connecté sur un port série du PC (COM1, ) et raccordé sur une ligne téléphonique analogique.

Plus en détail

Qualité du logiciel: Méthodes de test

Qualité du logiciel: Méthodes de test Qualité du logiciel: Méthodes de test Matthieu Amiguet 2004 2005 Analyse statique de code Analyse statique de code Étudier le programme source sans exécution Généralement réalisée avant les tests d exécution

Plus en détail

Chapitre 1: Introduction générale

Chapitre 1: Introduction générale Chapitre 1: Introduction générale Roch Glitho, PhD Associate Professor and Canada Research Chair My URL - http://users.encs.concordia.ca/~glitho/ Table des matières Définitions et examples Architecture

Plus en détail

Guide de l utilisateur ArpentGIS-Trajet 1.2 ArpentGIS-Expert 1.4

Guide de l utilisateur ArpentGIS-Trajet 1.2 ArpentGIS-Expert 1.4 D3E ELECTRONIQUE Copyright D3E Electronique SAS 2010 Guide de l utilisateur ArpentGIS-Trajet 1.2 ArpentGIS-Expert 1.4 D3E Electronique Parc du Grand Troyes - 3 Rond-point Winston Churchill - 10302 SAINTE

Plus en détail

Contrôleur de communications réseau. Guide de configuration rapide DN1657-0606

Contrôleur de communications réseau. Guide de configuration rapide DN1657-0606 K T - N C C Contrôleur de communications réseau Guide de configuration rapide DN1657-0606 Objectif de ce document Ce Guide de configuration rapide s adresse aux installateurs qui sont déjà familiers avec

Plus en détail

Compilation (INF 564)

Compilation (INF 564) Présentation du cours Le processeur MIPS Programmation du MIPS 1 Compilation (INF 564) Introduction & architecture MIPS François Pottier 10 décembre 2014 Présentation du cours Le processeur MIPS Programmation

Plus en détail

NOTICE GPSTA1 I. DESCRIPTION II. ACCESSOIRES. J. R International - Eclats Antivols. 2014

NOTICE GPSTA1 I. DESCRIPTION II. ACCESSOIRES. J. R International - Eclats Antivols. 2014 I. DESCRIPTION NOTICE GPSTA1 1 : Connecteur antenne GSM 2 : Emplacement carte SIM 3 : Bouton pour faire sortir l emplacement carte SIM 4 : Connecteur jack pour micro 5 : Connecteur antenne GPS 6 : Connecteur

Plus en détail

Travaux Pratiques de Commande par ordinateur 1 TRAVAUX PRATIQUES

Travaux Pratiques de Commande par ordinateur 1 TRAVAUX PRATIQUES TRAVAUX PRATIQUES Le présent travail vise à développer une interface visuelle de programmation des entrées- sorties du port LPT d un PC à l aide du logiciel VISUAL BASIC. I- EDITION ET TEST DU PROGRAMME

Plus en détail

IMPORTANT Avant de commencer

IMPORTANT Avant de commencer SummaSketch III 1 IMPORTANT Avant de commencer Nous vous demandons de remplir et de renvoyer la carte ci-jointe maintenant. Si vous omettez de la renvoyer, cela pourrait ralentir vos demandes dans la cadre

Plus en détail

Version provisoire du 15 décembre 2003

Version provisoire du 15 décembre 2003 Support de cours de Transmission et Acquisition de Données Responsable du cours : Eric Magarotto Pour toute remarque ou question relative au cours : Laboratoire d'automatique & de Procédés (LAP-ISMRA)

Plus en détail

ProCod. Manuel d utilisation. Software de programmation pour codeurs absolus TWK modèles CRF et DAF CRF 11069 DF 08 / 10

ProCod. Manuel d utilisation. Software de programmation pour codeurs absolus TWK modèles CRF et DAF CRF 11069 DF 08 / 10 Software de programmation pour codeurs absolus TWK modèles CRF et DAF CRF 11069 DF 08 / 10 Manuel d utilisation ProCod TWK France 13-15, avenue de Stalingrad 93170 BAGNOLET T. 01 43 62 00 05 F. 01 43 63

Plus en détail

Sync-A-BOX et Duplicati. est une plateforme Cloud pour stocker et gérer vos données en ligne.

Sync-A-BOX et Duplicati. est une plateforme Cloud pour stocker et gérer vos données en ligne. Sync-A-BOX et Duplicati est une plateforme Cloud pour stocker et gérer vos données en ligne. Les usages : Espace de stockage externe, accessible depuis n importe où. Utilisable comme lecteur logique avec

Plus en détail

Conférence sur les microcontroleurs.

Conférence sur les microcontroleurs. Conférence sur les microcontroleurs. Le microcontrôleur Les besoins et le développement. Vers 1970, pour des calculs (calculatrice). Le premier est le 4004 de Intel, 90K. La technologie. Les 2 principales

Plus en détail

PocketNet SNMP/Modbus

PocketNet SNMP/Modbus Volume 1 RBEI-ADISCOM Manuel utilisateur PocketNet SNMP/Modbus CONVERTISSEUR SERIE - ETHERNET Manuel utilisateur PocketNet SNMP/Modbus Table des matières CHAPITRE 1 Caractéristiques utiles à la mise en

Plus en détail

Génie Logiciel avec Ada. 4 février 2013

Génie Logiciel avec Ada. 4 février 2013 Génie Logiciel 4 février 2013 Plan I. Généralités II. Structures linéaires III. Exceptions IV. Structures arborescentes V. Dictionnaires I. Principes II. Notions propres à la POO I. Principes Chapitre

Plus en détail

REALISATION d'un. ORDONNANCEUR à ECHEANCES

REALISATION d'un. ORDONNANCEUR à ECHEANCES REALISATION d'un ORDONNANCEUR à ECHEANCES I- PRÉSENTATION... 3 II. DESCRIPTION DU NOYAU ORIGINEL... 4 II.1- ARCHITECTURE... 4 II.2 - SERVICES... 4 III. IMPLÉMENTATION DE L'ORDONNANCEUR À ÉCHÉANCES... 6

Plus en détail

Systèmes et Réseaux (ASR 2) - Notes de cours Cours 14

Systèmes et Réseaux (ASR 2) - Notes de cours Cours 14 Systèmes et Réseaux (ASR ) - Notes de cours Cours Anne Benoit May, 0 PARTIE : Systèmes PARTIE : Réseaux Architecture des réseaux de communication La couche -liaison La couche -réseau Algorithmes de routage

Plus en détail

Proteus Design Suite V7 Instruments virtuels

Proteus Design Suite V7 Instruments virtuels Proteus Design Suite V7 Instruments virtuels Le modèle d oscilloscope virtuel...2 Généralités...2 Utilisation de l oscilloscope...2 Le modèle d analyseur logique...5 Généralités...5 Utilisation de l analyseur

Plus en détail

ET 24 : Modèle de comportement d un système Boucles de programmation avec Labview.

ET 24 : Modèle de comportement d un système Boucles de programmation avec Labview. ET 24 : Modèle de comportement d un système Boucles de programmation avec Labview. Sciences et Technologies de l Industrie et du Développement Durable Formation des enseignants parcours : ET24 Modèle de

Plus en détail

La couche réseau Le protocole X.25

La couche réseau Le protocole X.25 La couche réseau Le protocole X.25 Michel Gardie GET/INT/LOR/RIP 20 décembre 2004 Réseau / X.25 Informations La version de ce document à la date d impression et de révision est temporaire. Quelkes feautes

Plus en détail

Guide d utilisation de PL7 Pro Récupérer ou transférer un programme

Guide d utilisation de PL7 Pro Récupérer ou transférer un programme Guide d utilisation de PL7 Pro Récupérer ou transférer un programme 1. Connecter le câble Connecter le câble à l ordinateur sur un port USB (utiliser toujours le même de préférence). Connecter ensuite

Plus en détail

CONVERTISSEUR RS 232/485 NOTICE

CONVERTISSEUR RS 232/485 NOTICE CONVERTISSEUR RS 232/485 068745 068745 NOTICE Ce convertisseur TCP/IP permet de convertir tous équipements à liaison série et de les superviser sur un réseau LAN. Sommaire 1 Description du produit...2

Plus en détail

I. TRANSMISSION DE DONNEES

I. TRANSMISSION DE DONNEES TD I. TRANSMISSION DE DONNEES 1. QU'EST-CE QU'UN CANAL DE TRANSMISSION? 1.1 Rappels Une ligne de transmission est une liaison entre les deux machines. On désigne généralement par le terme émetteur la machine

Plus en détail

IFT1215 Introduction aux systèmes informatiques

IFT1215 Introduction aux systèmes informatiques Introduction aux circuits logiques de base IFT25 Architecture en couches Niveau 5 Niveau 4 Niveau 3 Niveau 2 Niveau Niveau Couche des langages d application Traduction (compilateur) Couche du langage d

Plus en détail

Interface PC Vivago Ultra. Pro. Guide d'utilisation

Interface PC Vivago Ultra. Pro. Guide d'utilisation Interface PC Vivago Ultra Pro Guide d'utilisation Version 1.03 Configuration de l'interface PC Vivago Ultra Configuration requise Avant d'installer Vivago Ultra sur votre ordinateur assurez-vous que celui-ci

Plus en détail

STS SE. FreeRTOS. Programmation réseau WIFI. Programmation réseau. Socket Tcp. FlyPort smart Wi-Fi 802.11 module

STS SE. FreeRTOS. Programmation réseau WIFI. Programmation réseau. Socket Tcp. FlyPort smart Wi-Fi 802.11 module François SCHNEIDER Lycée Victor-Hugo BESANÇON. STS SE FreeRTOS Programmation réseau WIFI Programmation réseau Socket Tcp FlyPort smart Wi-Fi 802.11 module Prérequis : langage C, connaissance réseau : Ip,

Plus en détail

6.5.2 Reset_Scénario... 11 6.5.3 Démarrage_Zibase... 11 6.6 Mise sous alarme, surveillance... 11 6.6.1 Eclairage Allée Temp... 11 6.6.

6.5.2 Reset_Scénario... 11 6.5.3 Démarrage_Zibase... 11 6.6 Mise sous alarme, surveillance... 11 6.6.1 Eclairage Allée Temp... 11 6.6. Table des matières 1. Définition des besoins... 4 1.1 Monitoring... 4 1.2 Actions... 4 1.3 Alertes... 4 2. Modules et capteurs actuels... 4 2.1 Les actionneurs type lampes... 4 2.2 Les actionneurs type

Plus en détail

Programmation d un contrôleur via une liaison téléphonique Note d application

Programmation d un contrôleur via une liaison téléphonique Note d application Programmation d un contrôleur via une liaison téléphonique, Français Version 1.0.0 24/12/02 ii General Copyright 2001 by WAGO Kontakttechnik GmbH Tous droits réservés. WAGO Kontakttechnik GmbH Hansastraße

Plus en détail

Sélection du contrôleur

Sélection du contrôleur Démo CoDeSys - 1 - 1. Configuration de l environnement de travail : Lancer le logiciel CoDeSys Fichier Nouveau Lors de la première utilisation, une boîte de dialogue apparaît permettant la sélection du

Plus en détail

Mise en service HORUS version HTTP

Mise en service HORUS version HTTP HTTP 1 / 8 Mise en service HORUS version HTTP Date Indice Nature des modifications Rédaction Nom/visa 03/05/06 A Première rédaction XI Vérification Nom/visa Approbation Nom/visa HTTP 2 / 8 SOMMAIRE 1)

Plus en détail

Enregistreur sans papier avec écran TFT et carte Compact Flash. B 70.6580.2.0 Description des interfaces 07.07/00472200

Enregistreur sans papier avec écran TFT et carte Compact Flash. B 70.6580.2.0 Description des interfaces 07.07/00472200 nt Enregistreur sans papier avec écran TFT et carte Compact Flash B 70.6580.2.0 Description des interfaces 07.07/00472200 Sommaire 1 Introduction 5 1.1 Avant-propos... 5 1.2 Conventions typographiques...

Plus en détail

Spécifications Techniques d Interface

Spécifications Techniques d Interface 67, Édition 2 / Octobre 2000 Spécifications Techniques d Interface SRXUOHUpVHDXGH)UDQFH7pOpFRP 'LUHFWLYH&( &DUDFWpULVWLTXHVGHVLQWHUIDFHVG DFFqV DXVHUYLFH7UDQVIL[0pWURSROLWDLQ 5pVXPp Ce document présente

Plus en détail

DM 1 : Montre Autoquartz ETA

DM 1 : Montre Autoquartz ETA Lycée Masséna DM 1 : Montre Autoquartz ETA 1 Présentation de la montre L essor de l électronique nomade s accompagne d un besoin accru de sources d énergies miniaturisées. Les contraintes imposées à ces

Plus en détail

CONFIGURATION ET UTILISATION

CONFIGURATION ET UTILISATION COMPARATEUR DE CODE GRAY POUR CODEURS A SORTIES PARALLELES CONFIGURATION ET UTILISATION CCN165 LOREME 12, rue des Potiers d'etain Actipole BORNY - B.P. 35014-57071 METZ CEDEX 3 Téléphone 03.87.76.32.51

Plus en détail

Document de formation pour une solution complète d automatisation Totally Integrated Automation (T I A) MODULE A5 Programmation de la CPU 314C-2DP

Document de formation pour une solution complète d automatisation Totally Integrated Automation (T I A) MODULE A5 Programmation de la CPU 314C-2DP Document de formation pour une solution complète d automatisation Totally Integrated Automation (T I A) MODULE A5 Document de formation T I A Page 1 sur 23 Module A5 Ce document a été édité par Siemens

Plus en détail

1. Structure d un programme C. 2. Commentaire: /*..texte */ On utilise aussi le commentaire du C++ qui est valable pour C: 3.

1. Structure d un programme C. 2. Commentaire: /*..texte */ On utilise aussi le commentaire du C++ qui est valable pour C: 3. 1. Structure d un programme C Un programme est un ensemble de fonctions. La fonction "main" constitue le point d entrée pour l exécution. Un exemple simple : #include int main() { printf ( this

Plus en détail

Tuto pour connecter une source RVB RGB à un moniteur Commodore / Amiga

Tuto pour connecter une source RVB RGB à un moniteur Commodore / Amiga Tuto pour connecter une source RVB RGB à un moniteur Commodore / Amiga Il faut 1 moniteur Commodore 1084S / 1083S-D1 Ecran 1084S (au dos, il est indiqué 1083S-D1), je l ai eut avec un Amiga 600 et on va

Plus en détail

ALERT NOTICE D UTILISATION DU DRIVER VOCALYS. (Cesa 200 bauds / Contact-ID)

ALERT NOTICE D UTILISATION DU DRIVER VOCALYS. (Cesa 200 bauds / Contact-ID) ALERT NOTICE D UTILISATION DU DRIVER VOCALYS (Cesa 200 bauds / Contact-ID) 1) Installation A) Installation du driver VOCALYS Lors de l installation du logiciel ALERT, le gestionnaire de communication «Vocalys

Plus en détail

Contrôle d accès UTIL TP N 1 découverte

Contrôle d accès UTIL TP N 1 découverte Contrôle d accès UTIL TP N 1 découverte A partir de : Documents sur CD TIL : \CD usesame\documentations\produits_til\modules\util_tillys fpr_utilv2.pdf FTe_UTiL_V2.pdf UTiL2_express.pdf \CD usesame\documentations\logiciels_til\tilman

Plus en détail

Vous avez cliqué sur le lien disponible sur le Site Uniformation. Vous venez d arriver sur cette page.

Vous avez cliqué sur le lien disponible sur le Site Uniformation. Vous venez d arriver sur cette page. Vous avez cliqué sur le lien disponible sur le Site Uniformation. Vous venez d arriver sur cette page. Ce site vous permettra de faire votre demande d agrément en ligne. Première étape, vous identifier.

Plus en détail

Le Protocole DHCP. Définition. Références. Fonctionnement. Les baux

Le Protocole DHCP. Définition. Références. Fonctionnement. Les baux Définition Le Protocole DHCP DHCP signifie Dynamic Host Configuration Protocol. Il s'agit d'un protocole qui permet à un ordinateur qui se connecte sur un réseau local d'obtenir dynamiquement et automatiquement

Plus en détail

À propos de ce Guide de Configuration EtherSound

À propos de ce Guide de Configuration EtherSound À propos de ce Guide de Configuration EtherSound Ces dernières années, on a vu apparaître un certain nombre de technologies réseau assurant la gestion et le contrôle centralisés d installations audio de

Plus en détail

Romain Buisson Vincent Journel PROJET BALLON SONDE. Tuteur : M André Lagrèze

Romain Buisson Vincent Journel PROJET BALLON SONDE. Tuteur : M André Lagrèze Antoine Jacquemier Gregory Prevel Romain Buisson Vincent Journel IUT DE VALENCE DEPARTEMENT INFORMATIQUE PROJET BALLON SONDE Tuteur : M André Lagrèze Page 2 sur 43 REMERCIEMENTS Notre groupe de projet

Plus en détail

Cours d algorithmique pour la classe de 2nde

Cours d algorithmique pour la classe de 2nde Cours d algorithmique pour la classe de 2nde F.Gaudon 10 août 2009 Table des matières 1 Avant la programmation 2 1.1 Qu est ce qu un algorithme?................................. 2 1.2 Qu est ce qu un langage

Plus en détail

Atelier C TIA Portal CTIA06 : programmation des automates S7-300 Blocs d organisation

Atelier C TIA Portal CTIA06 : programmation des automates S7-300 Blocs d organisation Atelier C TIA Portal CTIA06 : programmation des automates S7-300 Blocs d organisation CTIA06 Page 1 1. Types de blocs de programme L automate met à disposition différents types de blocs qui contiennent

Plus en détail

COMMUNICATION PC/MINITEL

COMMUNICATION PC/MINITEL 229 Cet article fait suite à ceux publiés dans les bulletins de l'epi n 47 page 207 et 48 page 205. Si vous avez réussi à construire un câble de liaison, vous vous êtes peut être demandé comment vous en

Plus en détail

Guide d installation de Java et des pilotes de lecture des supports

Guide d installation de Java et des pilotes de lecture des supports Guide d installation de Java et des pilotes de lecture des supports Accueil du rechargement direct de la boutique e-transco de la Côte-d Or SOMMAIRE 1. Installation de java sous windows 3 1.1. Aller dans

Plus en détail

Connexion à distance. Pour configurer les automates Beckhoff via un PC de programmation VERSION : 1.0 / PH

Connexion à distance. Pour configurer les automates Beckhoff via un PC de programmation VERSION : 1.0 / PH Connexion à distance Pour configurer les automates Beckhoff via un PC de programmation VERSION : 1.0 / PH Certains CPU permettent d installer les logiciels d ingénierie TwinCAT pour ainsi travailler en

Plus en détail

SYSTEME DE GESTION DES ENERGIES EWTS EMBEDDED WIRELESS TELEMETRY SYSTEM

SYSTEME DE GESTION DES ENERGIES EWTS EMBEDDED WIRELESS TELEMETRY SYSTEM SYSTEME DE GESTION DES ENERGIES EWTS EMBEDDED WIRELESS TELEMETRY SYSTEM Copyright TECH 2012 Technext - 8, avenue Saint Jean - 06400 CANNES Société - TECHNEXT France - Tel : (+ 33) 6 09 87 62 92 - Fax :

Plus en détail

UE 503 L3 MIAGE. Initiation Réseau et Programmation Web La couche physique. A. Belaïd

UE 503 L3 MIAGE. Initiation Réseau et Programmation Web La couche physique. A. Belaïd UE 503 L3 MIAGE Initiation Réseau et Programmation Web La couche physique A. Belaïd abelaid@loria.fr http://www.loria.fr/~abelaid/ Année Universitaire 2011/2012 2 Le Modèle OSI La couche physique ou le

Plus en détail

Moderniser vos postes de travail grâce à VMware

Moderniser vos postes de travail grâce à VMware Moderniser vos postes de travail grâce à VMware Récapitulatif : une pression de toute part exercée sur les services informatiques OS PÉRIPHÉRI- QUES ACCÈS APPL. PRIS DANS UN CERCLE VICIEUX Récapitulatif

Plus en détail

Expérience 3 Formats de signalisation binaire

Expérience 3 Formats de signalisation binaire Expérience 3 Formats de signalisation binaire Introduction Procédures Effectuez les commandes suivantes: >> xhost nat >> rlogin nat >> setenv DISPLAY machine:0 >> setenv MATLABPATH /gel/usr/telecom/comm_tbx

Plus en détail

Gestion de gros fichiers binaires (images) en APL*PLUS III

Gestion de gros fichiers binaires (images) en APL*PLUS III Gestion de gros fichiers binaires (images) en APL*PLUS III par Gérard A. Langlet Lun des nombreux problèmes que nous avons rencontrés en APL concerne l impression d images, constituées en fait de grosses

Plus en détail

Chap17 - CORRECTİON DES EXERCİCES

Chap17 - CORRECTİON DES EXERCİCES Chap17 - CORRECTİON DES EXERCİCES n 3 p528 Le signal a est numérique : il n y a que deux valeurs possibles pour la tension. Le signal b n est pas numérique : il y a alternance entre des signaux divers

Plus en détail

Algorithmique & programmation

Algorithmique & programmation Algorithmique & programmation Type structuré Article, Enregistrement, Structure Définition de nouveaux types!! On a vu les types simples "! entier, booléen, caractère, chaîne de caractères!! Comment gérer

Plus en détail

TeamConnect. SL TeamConnect CU1, Unité centrale SL TeamConnect CB1, Combox CARACTÉRISTIQUES

TeamConnect. SL TeamConnect CU1, Unité centrale SL TeamConnect CB1, Combox CARACTÉRISTIQUES TeamConnect SL TeamConnect CU1, Unité centrale SL TeamConnect CB1, Combox CARACTÉRISTIQUES 8 Connectivité par ligne téléphonique terrestre et PC/Voix sur IP (VoiP) pour téléconférence via téléphone et

Plus en détail

Etudier l influence de différents paramètres sur un phénomène physique Communiquer et argumenter en utilisant un vocabulaire scientifique adapté

Etudier l influence de différents paramètres sur un phénomène physique Communiquer et argumenter en utilisant un vocabulaire scientifique adapté Compétences travaillées : Mettre en œuvre un protocole expérimental Etudier l influence de différents paramètres sur un phénomène physique Communiquer et argumenter en utilisant un vocabulaire scientifique

Plus en détail

Le protocole VTP. F. Nolot 2007

Le protocole VTP. F. Nolot 2007 Le protocole VTP 1 Le protocole VTP Le concept 2 Administration des VLAN? Pour ajouter un VLAN sur un réseau L'administrateur doit l'ajouter sur chaque switch! Necessite beaucoup de manipulation sur de

Plus en détail

TRIGONOMETRIE Algorithme : mesure principale

TRIGONOMETRIE Algorithme : mesure principale TRIGONOMETRIE Algorithme : mesure principale Déterminer la mesure principale d un angle orienté de mesure! 115" Problèmatique : Appelons θ la mesure principale, θ et! 115" sont deux mesures du même angle,

Plus en détail

Introduction à l architecture des ordinateurs. Adrien Lebre Décembre 2007

Introduction à l architecture des ordinateurs. Adrien Lebre Décembre 2007 Introduction à l architecture des ordinateurs Adrien Lebre Décembre 2007 Plan - partie 1 Vue d ensemble La carte mère Le processeur La mémoire principal Notion de bus Introduction à l architecture des

Plus en détail