Cœurs de processeur. 6. Solutions architecturales. 6. Solutions architecturales. 6. Solutions architecturales

Dimension: px
Commencer à balayer dès la page:

Download "Cœurs de processeur. 6. Solutions architecturales. 6. Solutions architecturales. 6. Solutions architecturales"

Transcription

1 6 Solutions architecturales 6 Solutions architecturales Flexibilité ASIC FPGA Reconfigurable Processor DSP MOPS / Watt Inefficacité 41 Cœurs de processeur 1 Processeurs RISC 2 Processeurs configurables 3 Processeurs DSP 42 Architectures reconfigurables 1 Solutions à base FPGA 2 Chemins de données reconfigurables 6 Solutions architecturales 1 Cœurs de processeur Processeurs RISC Processeurs configurables Processeurs DSP eg ARM, TI, Xtensa, ST, Cœurs de processeur Processeurs enfouis sur un SOC Délivré sous licence, modulaire, bloc IP Caractérisation d un cœur foundry-captive, licenciable (code RTL) Contenu du cœur cœur (+ mémoire (+ périphériques )) Exemples Infineon Carmel, Infineon TriCore ARM DSP Group OAK/PINE ST D950, ST Lx TI C64x, C55x EII3/M2R Olivier Sentieys, ENSSAT-IRISA,

2 1 ARM ARM9 Family Advanced RISC Machines 32-bit RISC processor core with ARM and Thumb instruction sets ARM is the industry's leading provider of 16/32-bit embedded RISC microprocessor solutions The company licenses its high-performance, low-cost, power-efficient RISC processors, peripherals, and systemon-chip designs to leading international electronics companies ARM provides comprehensive support required in developing a complete system ten billion processors since the company was formed in stage integer pipeline achieves 11 MIPS/MHz Up to 300 MIPS (Dhrystone 21) in a typical 013µm process Single 32-bit AMBA bus interface MMU supporting Windows CE, Symbian OS, Linux, Palm OS (ARM920T and ARM922T) Memory Protection Unit (MPU) supporting a range of Real Time Operating Systems including VxWorks (ARM940T) Integrated instruction and data caches 8-entry write buffer avoids stalling the processor when writes to external memory are performed Portable to latest 018µm, 015µm, 013µm silicon processes EII3/M2R EII3/M2R CPU Core - ARM9TDMI ARM9 Family Performance Characteristics ARM920T 018µ ARM920T 013µ ARM922T 018µ ARM922T 013µ Die Size (mm 2 ) Frequency (MHz) * Power (mw/mhz) ** (inc caches) Power (mw/mhz) ** (ex caches) EII3/M2R EII3/M2R Olivier Sentieys, ENSSAT-IRISA,

3 Power Analysis of ARM9TDMI StrongArm Intel SA-1110 ARM architecture Intel StrongARM EII3/M2R EII3/M2R ARM s Amba open standard 2 Tensilica Xtensa Synthesizable, configurable, embedded processor core Software development tools (compiler, OS) Advanced System Bus, (ASB) - high performance, CPU, DMA, external Advanced Peripheral Bus, (APB) - low speed, low power, parallel I/O, UART s External interface EII3/M2R EII3/M2R Olivier Sentieys, ENSSAT-IRISA,

4 Tensilica Xtensa Tensilica Xtensa EII3/M2R EII3/M2R Types of Configurability Xtensa Configurability Quantity, size, etc Often significant payback (eg cache size) Options (sort of quantity 0 or 1) eg FP or not, MMU or not, DSP or not, Parameters eg addresses of vectors, memories, Target specifications eg synthesize for area at the cost of speed Many applications don t need the maximum processor performance Process, standard cell library, etc Extensibility Adding things that the component supplier didn t explicitly offer EII3/M2R Cost, Power, Performance ISA Endianness MUL16/MAC16 Various miscellaneous instructions Interrupts Number of interrupts Type of interrupts Number of interrupt levels Number of timers and their interrupt levels EII3/M2R Memories 32 or 64 entry regfile 32, 64, or 128b bus widths Inst Cache and Data Cache/ RAM o 1KB to 16KB o 16, 32, or 64B line size 4-32-entry write buffer Debugging No inst addr breakpoints No data addr breakpoints JTAG debugging Trace port Olivier Sentieys, ENSSAT-IRISA,

5 Example 025um Results 55 to 141MHz 28 to 84K gates 62 to 191mW power 20mm² to 83mm² including cache RAMs 3 Cœurs de DSP TI propose deux cœurs de DSP (fin 2001) C55x Jusqu'à 005 mw/mips (20 MIPS/mW) Consommation du C54x diminuée de 85% 5x les performances du C54x C64x Jusqu à 11 GHz, 9 GOPS Six ALUs (32-/40-Bit), une 32-Bit, deux 16-Bit, ou quatre 8-Bit opérations arithmétiques par cycle Deux multiplieurs, quatre 16x16-Bit ou huit 8x8-Bit multiplications par cycle Coprocesseurs VCP (Viterbi) et TCP (Turbo) 'C6411: 300 MHz, $39, 10 V, 250mW, 2400 MIPS, 1200 MMACS EII3/M2R EII3/M2R C55x Architecture Very Long Instruction Word C55x Dual MAC C MHz 320 MIPS 80mW 4000 MIPS/W 160 KW SRAM C MIPS 160 mw 25 MIPS/mW EII3/M2R Caractéristiques Plusieurs instructions par cycle, empaquetées dans une "super-instruction" large Architecture plus régulière, plus orthogonale, plus proche du RISC Jeu de registres uniforme, plus large Exemples EII3/M2R TI TMS320 C6xx Infineon Carmel ADI TigerSHARC StarCore SC140 (Lucent + Motorola) Olivier Sentieys, ENSSAT-IRISA,

6 Texas Instruments TMS 320C6x Series - VelociTI C6200 CPU Texas Instruments TMS 320C6x Series - Features L:ALU S:Shift+ALU M:Multplier D:Address U EII3/M2R Functional Unit L1 MPY MPY ADD MPY Functional Unit S1 256 MPY ADD ADD MV STW ADD ADD SHL ADD SUB STW STW ADDK B SUB LDW LDW B MVK NOP NOP MPY ADD ADD STW STW ADDK NOP Functional Unit M1 Register File A Functional Unit D1 Functional Unit D2 Data Memory Controller Functional Unit M2 Functional Unit S2 Register File B Data Address 1 Data Address 2 Internal Memory Functional Unit L2 Fetch 32x8=256 bits Dispatch Unit Advanced VLIW CPU with eight functional units (RISC-like code) MHz, 025µ CMOS 1M-bit on-chip memory (512kbit data / 512kbit program) 32-bit external memory interface Two enhanced-buffered serial ports (high bandwith telecom, interprocessor com) 16-bit host access port (Host processor access to on-chip data memory) Flexible PLL clock generator (Multiplies ext clock rate for 2 or 4 for maximum CPU performance) 352-lead ball grid array package Price/1000: $135 EII3/M2R Texas Instruments TMS 320C6x Series - CPU C64x Two sets of functional units including: Two multipliers Six aritmetich logic units (ALUs) 32 registers with 32-bit wordlength each data-addressing units D1 and D2 exclusively responsible for data transfers between memory and the register files 8-/16-/32-bit data support 40-bit arithmetic options (extra precision for vocoders) Saturation and normalisation Bit-field manipulation and instruction: extract, set, clear, bit counting EII3/M2R EII3/M2R Olivier Sentieys, ENSSAT-IRISA,

7 Famille Lx (ST200) STmicroelectronics et Hewlett-Packard Famille Lx = un cœur VLIW clusterisé, un cœur configurable, personnalisable, une chaîne de développement basée sur un compilateur ILP Personnalisable au niveau du nombre et des structures des Unités Fonctionnelles et des registres, des mémoires (dont cache), du jeu d instructions Processus de développement hautement automatisé pour la "customisation" EII3/M2R Les architectures clusterisées Architecture du cœur EII3/M2R Architecture d un Cluster ST200 21mm 2 EII3/M2R EII3/M2R MHz in 025m technology (2 5V) 400MHz in 018m technology (1 8V) Olivier Sentieys, ENSSAT-IRISA,

8 Méthodologies de conception des systèmes sur puce ENSSAT EII et M2R SISEA/SE Architectures reconfigurables 6 Solutions architecturales Programmation du logiciel et du matériel Accélération d'une application par des "ASIC on-thefly" Architectures 43 Architectures reconfigurables FPGA, Data Path (DP), Processeurs Granularité Solutions à base FPGA Chemins de données reconfigurables Grain fin (porte logique, LUT), grain moyen (DP) Reconfiguration Statique ou dynamique EII3/M2R Architectures reconfigurables Chaîne de réception Image Music Demult Multiple Access Channel Decoder Demodul Equalizer Famille VIRTEX II Voice Source Decoder Coprocesseur Reconfigurable temps Processeur Nouveau design du CLB Bancs mémoire de plus grande taille Multiplieurs intégrés (18x18 bits) Gestion des horloges par DCM (Digital Clock Manager) Capacité de routage améliorée (Active Interconnect Technology) Cryptage du bitstream sécurisation du système 15 volt Processeur EII3/M2R Olivier Sentieys, ENSSAT-IRISA, 2011 EII3/M2R

9 Famille VIRTEX II (15 volts) Schéma d un CLB sur FPGA VirtexII Famille VIRTEX II (15 volts) Schéma d un slice sur FPGA VirtexII Matrice d interconnexion 1 CLB=4 SLICES EII3/M2R EII3/M2R Virtex 5 Famille VIRTEX II Pro 65 nm 550 MHz clocking Performance-tuned IP blocks 1100 DMIPS PowerPC 440 processor blocks with highbandwidth, low-latency interfaces 528 GMACS from DSP48E slices 192 GFLOPS single-precision and 68 GFLOPS doubleprecision floating point DSP 125 Gbps LVDS I/O Jusqu à 4 blocs processeurs IBM PowerPC 405 Fréquence de travail jusqu à 300MHz Cœurs Rocket I/O Multi- Gigaset Tranceiver (transformation des données série-parallèle) Architecture des Virtex II Pro (Voir détail flot de conception en ANNEXE) Bloc processeur des Virtex II Pro EII3/M2R EII3/M2R Olivier Sentieys, ENSSAT-IRISA,

10 Famille VIRTEX II Pro Famille Stratix Altera Caractéristiques des Virtex II Pro EII3/M2R EII3/M2R Famille Stratix Altera Stratix : Logic Element (LE) EII3/M2R EII3/M2R Olivier Sentieys, ENSSAT-IRISA,

11 Stratix : blocs DSP Mémoires Multiplieurs 9x9 18x18 2 GMAC/s par bloc F = 250 MHz Utilisation Rake VoIP OFDM Multimédia 4 ports 2 ports 1 port EII3/M2R EII3/M2R Stratix Altera Architecture DART (IRISA/ENSSAT) Feature EP1S10 EP1S20 EP1S25 EP1S30 EP1S40 EP1S60 EP1S80 EP1S120 Logic 10,570 18,460 25,660 32,470 41,250 57,120 79, ,140 Element s (LEs) Total 920K 1,669K 1,944 K 3,317K 3,423 K 5,215K 7,427K 10,118K RAM bits DSP Blocks Emb Mult PLLs Mémoire inst L2 Ctrl maison Ctrl maison FPGA Contrôleur de tâche cluster1 cluster3 cluster2 Cluster4 Mémoire de donnée L2 Mem L1 Ctrl E/S Mémoire de config L2 DART Haute performance Faible consommation o Peu d instructions o Peu d accès mémoire EII3/M2R Collaboration ENSSAT/STMicroelectronics EII3/M2R Olivier Sentieys, ENSSAT-IRISA,

12 Architecture d un cluster de DART Architecture d un de DART AG1 AG2 AG3 AG4 Ctrl DMA Mem config L1 Ctrl FPGA SB SB SB SB SB Contrôleur mémoire Mem L1 X $D1 ALU $D2 X $D3 ALU $D4 Bus globaux CB EII3/M2R EII3/M2R Ctrl DMA Config Mem DART Architecture reg Ctrl FPGA Data mem1 reg RDP1 RDP2 RDP3 RDP4 RDP5 RDP6 Loop Managment AG1 AG2 AG3 AG4 Data mem2 Data mem3 Data mem4 Fully Connected Network Segmented Network FU1 FU2 FU3 FU4 Data Mem n 3G/UMTS Mobile Terminal n 80211a (Channel Est) n STMicroelectronics n CEA LIST/LETI 5-10 GOPS/cluster@013µ GOPS 16 5 GOPS Delivered as an RTL model Circuit (ST 130nm) june 2005 Silicon prototype of DART Complex SoC including DART accelerator Collaboration between IRISA/Cairn on DART (architectural design, synthesis, validation), CEA List (validation, integration), CEA Leti (integration, backend) Fresh Circuit (CEA) 4G mobile terminals Technology: ST 013µ CPU core: ARM Mgates Chip area = 80 mm 2 Package: TBGA 420 Core power supply: 12 V RAC AHB EST DART ARM9 TX RAM ETH RX EII3/M2R EII3/M2R Olivier Sentieys, ENSSAT-IRISA,

13 Chameleon Systems Inc Architecture reconfigurable dynamiquement reconfiguration partielle du circuit reconfiguration en 1 cycle CS2112 : 025u, 24 GOPS, 3 GMACS, 10W 7 Métriques de comparaison Flexibilité, Performance, Efficacité énergétique Flexibilité ASIC FPGA Reconfigurable Processor DSP MOPS / Watt Inefficacité EII3/M2R Métriques de comparaison (1) Performance (1) : temps requis pour réaliser un certain nombre de traitements MIPS (Millions of Instructions Per Second) ou MOPS (Millions of Operations Per Second) o Attention : le traitement réalisé par une instruction peut varier fortement d un processeur à un autre (instructions très spécialisées) o Valable si les architectures à comparer sont très similaires (RISC) MACS (Multiply-ACcumulate operations per Second) o Plus réaliste dans le cas de DSP, il reste cependant à évaluer les mouvements mémoire et les traitements avant et après l instruction MAC Solution : Algorithm Kernel Benchmarking EII3/M2R BDTIMARK 256-point, radix-2, in-place fast Fourier transform (FFT) EII3/M2R Function Description Application Examples Real block finite impulse response (FIR) filter Complex block FIR filter Real single-sample FIR filter Least-mean-square adaptive FIR filter Infinite inpulse response (IIR) filter Vector dot product Vector add Vector maximum Convolutional encoder Finite-state machine (FSM) FIR filter that operates on a block of G728 speech encoding, other speech processing real (not complex) data FIR filter that operates on a block of Modem channel equalisation complex data FIR filter that operates on a single Speech processing, general filtering sample of real data LMS adaptive FIR filter that operates on Channel equalisation, servo control, linear predictive a single sample of real data encoding IIR filter that operates on a single Audio processing, general filtering sample of real data Sum of the pointwise multiplication of Convolution, correlation, matrix multiplication, two vectors multidimensional signal processing Pointwise addition of two vectors Graphics, combining audio signals or images, vector producing a third vector search Discovery of the value and location of a Error-control coding, algorithms using block floatingpoint arithmetic vector's maximum value Application of convolutional forward North American digital cellular telephone equipment error-correction code to a block of bits (IS-54 standard) A contrived series of control operations Control operations appear in nearly all digital signal (test, branch, push, pop) and bit processing applications manipulations FFt conversion of a normal time-domain Radar, MPEG audio compression, spectral analysis signal into the frequency domain Olivier Sentieys, ENSSAT-IRISA,

14 BDTIMARK Métrique : performance/coût Motorola - IBM PowerPC604e 333 MHz BDTImark 62 Algorithm Kernel Benchmarking (FFT [BDT June 1995]) Intel Pentium 200 MHz 23 Analog Device ADSP 2106x 60 MHz Texas Instruments TMS320C67xx 167 MHz Texas Instruments TMS320C3x 80 MHz Zoran ZR3800x Texas Instruments TMS320C541 Texas Instruments TMS320C52 Texas Instruments TMS320C44 Texas Instruments TMS320C31 Intel MMX Pentium 266 MHz 56 Texas Instruments TMS320C209 Processeurs ARM7 TDMI/picolo 70 MHz ARM7 TDMI 80 MHz Motorola DSP 566xx 60 MHz NEC µpd77015 Motorola DSP56166 Motorola DSP56002 Motorola DSP 563xx 100 MHz 25 IBM MDSP2780 Lucent technologies DSP 16xxx 100MHz 37 Lucent Technologies DSP 3207 Lucent technologies DSP 16xx 120MHz 22 Lucent Technologies DSP32C Analog device ADSP21xx 75 MHz Texas Instruments TMS320C80 60 MHz Texas Instruments TMS320C54x 100 MHz Texas Instruments TMS320C62x 200 MHz EII3/M2R Lucent Technologies DSP Analog Devices ADSP Analog Devices ADSP Score EII3/M2R Cost-Time Product (µs$) Métrique : énergie Métriques de comparaison (2) Filtrage numérique RIF EII3/M2R Watt-microsecondes C549 Virgule Fixe Virgule Flottante C6201 DSP16210 ZSP16401 ADSP C6701 C MHz, 25V C MHz, 18V DSP MHz, 33V ZSP MHz, 25V ADSP MHz, 25V C MHz, 18V Performances (2) Efficacité énergétique = Op/J = MOPS/mW MOPS FclkNop 1 mw = NopAopCswFclkVdd 2 = AopCswVdd 2 EII3/M2R Efficacité par surface = MOPS/mm 2 Figure de mérite [Chien00] Transistors MOPS bits mm 2 mw s Flexibilité Nop: Number of Operators Aop: Average Area of Op Csw: Switch Cap / mm 2 Olivier Sentieys, ENSSAT-IRISA,

15 ASIC Implémentation la plus efficace Parallélisme, pipeline eg 013um multiplieur : 0015mm2, 5pJ/Op@1V 50mm2 : 5000 add ou reg et 500 mult Filtre adapté WCDMA (8Fc, 32 taps) UMC 018um: 12ns, 10GOPS, 80mW, 008mm2 CDMA/MUD [Brodersen01] EII3/M2R Four adaptive pilot correlators Die size: 338mm x 458mm Transistor Count: 04 million GOP with 25 MHz clock Processeurs Performances C6411: 300 MHz, $39, 10 V, 250mW, 2400 MIPS, 1200 MMACS, 9600 MIPS/W C5510: 160 MHz, 320 MIPS, 80mW, 4000 MIPS/W C5502: 400 MIPS, 160 mw, 2500 MIPS/W EII3/M2R Processeur MIPS MHz Vdd Pmoy MIPS/Watt CoolRisc V 28 mw 5000 TMS C54x V 460 mw TMS C6x V 2 W 800 StrongARM V 420 mw 500 a V 60 W 20 a V 100 W 40 DSP vs GPP Résumé des solutions A = Best, E = Worst Les DSPs sont efficaces en MIPS/mW et en MIPS/ mm 2 Cela se paye sur la flexibilité et la programmabilité EII3/M2R mw/mips M68K $200 DSP1 $150 DSP32C $250 I286 $200 I386 $300 Pentium $500 DSP16A $ [Ackland ISLPD98] Pentium MMX $700 DSP16210 <$10 DSP1600 $10 Design Effort Design Flexibility Run-time Flexibility EII3/M2R DSP Core RISC Core FPGA Config Cores ASIC ASSP B A D C A A+ E E B C A E C B A C E E Top Speed D E B C A A Energy Efficiency C D C B A A Olivier Sentieys, ENSSAT-IRISA,

16 En conclusion Flexibilité vs performances en efficacité énergétique Exemple : émetteur récepteur WCDMA Energy Efficiency MOPS/mW (or MIPS/mW) EII3/M2R Dedicated HW Reconfigurable Processor/Logic ASIPs DSPs ASIC Embedded Processors Pleiades/DART MOPS/mW 2 V DSP: 3 MOPS/mW Flexibility (Coverage) SA MIPS/mW Prototypage d un émetteur-récepteur WCDMA utilisé dans les télécommunications mobiles de troisième génération (3G) de type UMTS, sur un système intégré (SoC) Etudier la complexité globale et décider quel type de matériel à utiliser (ASIC, DSP, ) pour chaque bloc de cette chaîne de traitement WCDMA WCDMA Caractéristiques générales : Le débit d entrée des DATA est fixé à échantillons par seconde On appellera cette fréquence la fréquence chip Fc Le signal x'(n) en réception est suréchantillonné d un facteur 4 On considérera donc que le débit du flot de données vaut 4Fc Le signal d entrée DATA est considéré comme complexe, composé d une partie réelle et imaginaire, respectivement I(n) et Q(n) Il en est de même pour les codes c(n) et les porteuses p(n) Les filtres RIF d émission et de réception sont de taille N=128 : N 1 y( n) = h( i) x( n i) i= 0 Les modulation et démodulation consistent à multiplier le signal y(n) par une porteuse p(n) Ces algorithmes travaillent sur des données d entrée avec un débit à la fréquence chip Fc Emetteur WCDMA DATA(n) x(n) Filtre y(n) s(n) Modulation RIF c(n) Générateur de codes Générateur de porteuse Donner la complexité en nombre d additions et multiplications de chaque bloc de traitement En déduire la puissance de calcul en MOPS nécessaire à l émetteur WCDMA p(n) EII3/M2R EII3/M2R Olivier Sentieys, ENSSAT-IRISA,

17 WCDMA WCDMA Récepteur WCDMA EII3/M2R e (n) x (n) Démodulation Générateur de porteuse Filtre RIF Rake Receiver DATA (n) Le Rake Receiver est principalement constitué de L=6 corrélateurs Chaque corrélateur effectue une multiplication-accumulation sur le signal y (n) par un code complexe c i (n) qui lui est propre Donner la complexité en nombre d additions et multiplications ainsi qu en MOPS d un corrélateur et du Rake Receiver En déduire la puissance de calcul en MOPS nécessaire au récepteur WCDMA y (n) Etude d une solution architecturale pour le système émetteurrécepteur Pour les 3 blocs de filtre RIF complexe, Rake Receiver et modulationdémodulation, indiquer : o pour un ASIC, le nombre d opérateurs nécessaires ; o pour chaque DSP, le nombre de processeurs nécessaires, indiquer si la contrainte de temps peut être respectée ; o pour les 3 architectures la puissance moyenne pour l exécution du bloc 1 ASIC 018u : 4ns : 3 ns Complexité des opérations 2 DSP C54x : 1 cycle : 1 cycle 3 DSP C6x : 2 cycles (latence) : 1 cycle Fréquence Puissance calcul 200 MHz 200 MIPS 200 MHz 1600 MIPS Consommation : 130pJ/opération : 40pJ/opération 120 mw 1W WCDMA DSP C6x DSP C54x ASIC FIR MOD RAKE Olivier Sentieys, ENSSAT-IRISA,

18 WCDMA DSP C6x DSP C54x ASIC FIR MOD RAKE

Chapitre 1 : Introduction aux méthodologies de conception et de vérification pour SE

Chapitre 1 : Introduction aux méthodologies de conception et de vérification pour SE Chapitre 1 : Introduction aux méthodologies de conception et de vérification pour SE 1. Rappel de ce qu est un SE 2. Conception au niveau système (ESL) Méthodologie de conception (codesign logiciel/matériel)

Plus en détail

Génération de code binaire pour application multimedia : une approche au vol

Génération de code binaire pour application multimedia : une approche au vol Génération de binaire pour application multimedia : une approche au vol http://hpbcg.org/ Henri-Pierre Charles Université de Versailles Saint-Quentin en Yvelines 3 Octobre 2009 Présentation Présentation

Plus en détail

1 Architecture du cœur ARM Cortex M3. Le cœur ARM Cortex M3 sera présenté en classe à partir des éléments suivants :

1 Architecture du cœur ARM Cortex M3. Le cœur ARM Cortex M3 sera présenté en classe à partir des éléments suivants : GIF-3002 SMI et Architecture du microprocesseur Ce cours discute de l impact du design du microprocesseur sur le système entier. Il présente d abord l architecture du cœur ARM Cortex M3. Ensuite, le cours

Plus en détail

Potentiels de la technologie FPGA dans la conception des systèmes. Avantages des FPGAs pour la conception de systèmes optimisés

Potentiels de la technologie FPGA dans la conception des systèmes. Avantages des FPGAs pour la conception de systèmes optimisés Potentiels de la technologie FPGA dans la conception des systèmes Avantages des FPGAs pour la conception de systèmes optimisés Gérard FLORENCE Lotfi Guedria Agenda 1. Le CETIC en quelques mots 2. Générateur

Plus en détail

Exemples d applications

Exemples d applications Processeurs de Traitement Numérique du Signal (DSP) Processeurs de Traitement Numérique du Signal (DSP) Olivier Sentieys IRISA Université de Rennes I ENSSAT Lannion sentieys@irisa.fr http://www.irisa.fr/r2d2

Plus en détail

Conception de Systèmes de Communications Numériques

Conception de Systèmes de Communications Numériques Conception de Systèmes de Communications Numériques CSCN Markus Muck, Xavier Miet Markus.Muck@motorola.com Motorola Labs Paris (CRM) -1 - Motorola Labs CRM Paris Motorola consacre chaque année environ

Plus en détail

Architecture des calculateurs

Architecture des calculateurs Formation en Calcul Scientifique - LEM2I Architecture des calculateurs Violaine Louvet 1 1 Institut Camille jordan - CNRS 12-13/09/2011 Introduction Décoder la relation entre l architecture et les applications

Plus en détail

Architecture des ordinateurs

Architecture des ordinateurs Décoder la relation entre l architecture et les applications Violaine Louvet, Institut Camille Jordan CNRS & Université Lyon 1 Ecole «Découverte du Calcul» 2013 1 / 61 Simulation numérique... Physique

Plus en détail

Une méthode de conception de systèmes sur puce

Une méthode de conception de systèmes sur puce École thématique ARCHI 05 Une méthode de conception de systèmes sur puce (de l intégration d applications) Frédéric PÉTROT Laboratoire TIMA Institut National Polytechnique de Grenoble Frédéric Pétrot/TIMA/INPG

Plus en détail

CONTEC CO., LTD. Novembre 2010

CONTEC CO., LTD. Novembre 2010 La gamme CONTEC CONTEC CO., LTD. Novembre 2010 1 Agenda Introduction Data acquisition and control Data Communication Expansion chassis and accessory Distributed I/O and media converter Stainless steel

Plus en détail

Exécution des instructions machine

Exécution des instructions machine Exécution des instructions machine Eduardo Sanchez EPFL Exemple: le processeur MIPS add a, b, c a = b + c type d'opération (mnémonique) destination du résultat lw a, addr opérandes sources a = mem[addr]

Plus en détail

PACKZ System Requirements. Version: 2015-05-27. Version: 2015-05-27 Copyright 2015, PACKZ Software GmbH. 1

PACKZ System Requirements. Version: 2015-05-27. Version: 2015-05-27 Copyright 2015, PACKZ Software GmbH. 1 PACKZ System Requirements Version: 2015-05-27 Copyright 2015, PACKZ Software GmbH. All rights reserved.this manual may not be copied, photocopied, reproduced, translated, or converted to any electronic

Plus en détail

Séminaire RGE REIMS 17 février 2011

Séminaire RGE REIMS 17 février 2011 Séminaire RGE REIMS 17 février 2011 ADACSYS Présentation des FPGA Agenda Spécificité et différences par rapport aux autres accélérateurs Nos atouts Applications Approche innovante Document confidentiel

Plus en détail

StruxureWare Power Monitoring v7.0. La nouvelle génération en matière de logiciel de gestion complète d énergie

StruxureWare Power Monitoring v7.0. La nouvelle génération en matière de logiciel de gestion complète d énergie StruxureWare Power Monitoring v7.0 La nouvelle génération en matière de logiciel de gestion complète d énergie Évolution des deux plate-formes originales Power Monitoring v7.0 SMS ION Enterprise 2012 Struxureware

Plus en détail

Initiation au HPC - Généralités

Initiation au HPC - Généralités Initiation au HPC - Généralités Éric Ramat et Julien Dehos Université du Littoral Côte d Opale M2 Informatique 2 septembre 2015 Éric Ramat et Julien Dehos Initiation au HPC - Généralités 1/49 Plan du cours

Plus en détail

Software and Hardware Datasheet / Fiche technique du logiciel et du matériel

Software and Hardware Datasheet / Fiche technique du logiciel et du matériel Software and Hardware Datasheet / Fiche technique du logiciel et du matériel 1 System requirements Windows Windows 98, ME, 2000, XP, Vista 32/64, Seven 1 Ghz CPU 512 MB RAM 150 MB free disk space 1 CD

Plus en détail

INSTRUMENTS DE MESURE SOFTWARE. Management software for remote and/or local monitoring networks

INSTRUMENTS DE MESURE SOFTWARE. Management software for remote and/or local monitoring networks INSTRUMENTS DE MESURE SOFTWARE SOFTWARE Logiciel de supervision des réseaux locaux et/ou distants Management software for remote and/or local monitoring networks MIDAs EVO 4 niveaux de fonctionnalités

Plus en détail

Introduction à l architecture des ordinateurs. Adrien Lebre Décembre 2007

Introduction à l architecture des ordinateurs. Adrien Lebre Décembre 2007 Introduction à l architecture des ordinateurs Adrien Lebre Décembre 2007 Plan - partie 1 Vue d ensemble La carte mère Le processeur La mémoire principal Notion de bus Introduction à l architecture des

Plus en détail

ÉCOLE POLYTECHNIQUE DE MONTRÉAL. Département de Génie Électrique. La technologie de TEXAS INSTRUMENTS DSP pour le cours Analyse des Signaux ELE2700

ÉCOLE POLYTECHNIQUE DE MONTRÉAL. Département de Génie Électrique. La technologie de TEXAS INSTRUMENTS DSP pour le cours Analyse des Signaux ELE2700 ÉCOLE POLYTECHNIQUE DE MONTRÉAL Département de Génie Électrique La technologie de TEXAS INSTRUMENTS DSP pour le cours Analyse des Signaux ELE2700 M. Corinthios et Zaher Dannawi 29 août 2007 2 Tables des

Plus en détail

Conception de circuits numériques et architecture des ordinateurs

Conception de circuits numériques et architecture des ordinateurs Conception de circuits numériques et architecture des ordinateurs Frédéric Pétrot Année universitaire 2014-2015 Structure du cours C1 C2 C3 C4 C5 C6 C7 C8 C9 C10 C11 Codage des nombres en base 2, logique

Plus en détail

Fiche technique CPU 315SN/PN (315-4PN33)

Fiche technique CPU 315SN/PN (315-4PN33) Fiche technique CPU 315SN/PN (315-4PN33) Données techniques N de commande 315-4PN33 Information générale Note - Caractéristiques SPEED-Bus - Données techniques de l'alimentation Alimentation (valeur nominale)

Plus en détail

Guide d'installation rapide TFM-560X YO.13

Guide d'installation rapide TFM-560X YO.13 Guide d'installation rapide TFM-560X YO.13 Table of Contents Français 1 1. Avant de commencer 1 2. Procéder à l'installation 2 Troubleshooting 6 Version 06.08.2011 16. Select Install the software automatically

Plus en détail

Vers du matériel libre

Vers du matériel libre Février 2011 La liberté du logiciel n est qu une partie du problème. Winmodems Modem traditionnel Bon fonctionnement Plus cher Electronique propriétaire Blob sur DSP intégré au modem Bien reçu par les

Plus en détail

Architecture des Ordinateurs. Partie II:

Architecture des Ordinateurs. Partie II: Architecture des Ordinateurs Partie II: Le port Floppy permet le raccordement du lecteur de disquette àla carte mère. Remarque: Le lecteur de disquette a disparu il y a plus de 6 ans, son port suivra.

Plus en détail

TESTING NETWORK HARDWARE

TESTING NETWORK HARDWARE Guillaume BARROT Nicolas BAYLE TESTING NETWORK HARDWARE The forward-plane way www.jaguar-network.com Agenda Tester : inconvénients / avantages Des solutions de tests adaptées RFC 2544 / Quick Test Le forwarding

Plus en détail

Systèmes et traitement parallèles

Systèmes et traitement parallèles Systèmes et traitement parallèles Mohsine Eleuldj Département Génie Informatique, EMI eleuldj@emi.ac.ma 1 Système et traitement parallèle Objectif Etude des architectures parallèles Programmation des applications

Plus en détail

Modélisation des interfaces matériel/logiciel

Modélisation des interfaces matériel/logiciel Modélisation des interfaces matériel/logiciel Présenté par Frédéric Pétrot Patrice Gerin Alexandre Chureau Hao Shen Aimen Bouchhima Ahmed Jerraya 1/28 TIMA Laboratory SLS Group 46 Avenue Félix VIALLET

Plus en détail

Implémentation Matérielle des Services d un RTOS sur Circuit Reconfigurable

Implémentation Matérielle des Services d un RTOS sur Circuit Reconfigurable Implémentation Matérielle des Services d un RTOS sur Circuit Reconfigurable Pierre Olivier*, Jalil Boukhobza*, Jean-Philippe Babau +, Damien Picard +, Stéphane Rubini + *Lab-STICC, + LISyC, Université

Plus en détail

Prérequis réseau constructeurs

Prérequis réseau constructeurs Prérequis réseau constructeurs - Guide de configuration du réseau Page 2 - Ports utilisés - Configuration requise - OS et navigateurs supportés Page 4 Page 7 Page 8 Guide de configuration du réseau NB:

Plus en détail

Kick Off SCC 2015. EMC l offre EXTREMIO. fmarti@fr.scc.com Philippe.rolland@emc.com. Vers de nouveaux horizons

Kick Off SCC 2015. EMC l offre EXTREMIO. fmarti@fr.scc.com Philippe.rolland@emc.com. Vers de nouveaux horizons Kick Off SCC 2015 EMC l offre EXTREMIO fmarti@fr.scc.com Philippe.rolland@emc.com Vers de nouveaux horizons Context Marché Les baies de stockages traditionnelles ont permis de consolider fortement Les

Plus en détail

Exigences système Commercial & Digital Printing

Exigences système Commercial & Digital Printing Exigences système OneVision Software AG Sommaire 1 Speedflow Check 4.1 Speedflow Edit 4.1 (Windows, Macintosh OSX) Speedflow Recompose 4.1 Speedflow Impose 3.0 2 Speedflow Cockpit 3.1 Speedflow Control

Plus en détail

Caractéristiques principales:

Caractéristiques principales: Powered by AndoridTM4.1 Jelly Bean Archos introduit sa nouvelle tablette ChildPad: l ARCHOS 80 CHILDPAD. Equipée de la dernière version d Android Jelly Bean, cette tablette de 8 a spécialement été conçue

Plus en détail

Mesure de performances. [Architecture des ordinateurs, Hennessy & Patterson, 1996]

Mesure de performances. [Architecture des ordinateurs, Hennessy & Patterson, 1996] Mesure de performances [Architecture des ordinateurs, Hennessy & Patterson, 1996] Croissance des performances des microprocesseurs Avant le milieu des années 80, le gain dépendait de la technologie. Après,

Plus en détail

Thème 3 Conception et vérification d architectures de systèmes sur puce

Thème 3 Conception et vérification d architectures de systèmes sur puce Thème 3 Conception et vérification d architectures de systèmes sur puce Conception et simulation Frédéric Pétrot Vérification Laurence Pierre Conception et vérification d architectures de systèmes sur

Plus en détail

Chapitre II-2 : Conception SoPC (Altera)

Chapitre II-2 : Conception SoPC (Altera) Chapitre II-2 : Conception SoPC (Altera) Plan 1. 2. Processeurs embarqués : conception conjointe System on Programmable Chip = 1. 2. 3. Systèmes à base de Nios-II Le bus système Avalon Les périphériques

Plus en détail

APPENDIX 6 BONUS RING FORMAT

APPENDIX 6 BONUS RING FORMAT #4 EN FRANÇAIS CI-DESSOUS Preamble and Justification This motion is being presented to the membership as an alternative format for clubs to use to encourage increased entries, both in areas where the exhibitor

Plus en détail

Les marchés Security La méthode The markets The approach

Les marchés Security La méthode The markets The approach Security Le Pôle italien de la sécurité Elsag Datamat, une société du Groupe Finmeccanica, représente le centre d excellence national pour la sécurité physique, logique et des réseaux de télécommunication.

Plus en détail

THÈSE. présentée à TÉLÉCOM PARISTECH. pour obtenir le grade de. DOCTEUR de TÉLÉCOM PARISTECH. Mention Informatique et Réseaux. par.

THÈSE. présentée à TÉLÉCOM PARISTECH. pour obtenir le grade de. DOCTEUR de TÉLÉCOM PARISTECH. Mention Informatique et Réseaux. par. École Doctorale d Informatique, Télécommunications et Électronique de Paris THÈSE présentée à TÉLÉCOM PARISTECH pour obtenir le grade de DOCTEUR de TÉLÉCOM PARISTECH Mention Informatique et Réseaux par

Plus en détail

Completed Projects / Projets terminés

Completed Projects / Projets terminés Completed Projects / Projets terminés Nouvelles normes Nouvelles éditions Publications spéciales publiées en français CAN/CSA-ISO/CEI 10164-9-97 (C2001), 1 re édition Technologies de l information Interconnexion

Plus en détail

Conférence sur les microcontroleurs.

Conférence sur les microcontroleurs. Conférence sur les microcontroleurs. Le microcontrôleur Les besoins et le développement. Vers 1970, pour des calculs (calculatrice). Le premier est le 4004 de Intel, 90K. La technologie. Les 2 principales

Plus en détail

L impact des délais de paiement et des solutions appropriées. Dominique Geenens Intrum Justitia

L impact des délais de paiement et des solutions appropriées. Dominique Geenens Intrum Justitia L impact des délais de paiement et des solutions appropriées Dominique Geenens Intrum Justitia Groupe Intrum Justitia Leader du marché en gestion de crédit Entreprise européenne dynamique avec siège principal

Plus en détail

Matériel & Logiciels (Hardware & Software)

Matériel & Logiciels (Hardware & Software) CHAPITRE 2 HARDWARE & SOFTWARE P. 1 Chapitre 2 Matériel & Logiciels (Hardware & Software) 2.1 Matériel (Hardware) 2.1.1 Présentation de l'ordinateur Un ordinateur est un ensemble de circuits électronique

Plus en détail

PLM 2.0 : Mise à niveau et introduction à l'offre version 6 de Dassault systèmes

PLM 2.0 : Mise à niveau et introduction à l'offre version 6 de Dassault systèmes IBM Software Group 2008 IBM Corporation and Dassault Systèmes PLM 2.0 : Mise à niveau et introduction à l'offre version 6 de Dassault systèmes 2009 2007 IBM Corporation 2 PLM : de l historique 2D-3D à

Plus en détail

1 Démarrer... 3 1.1 L écran Isis...3 1.2 La boite à outils...3 1.2.1 Mode principal... 4 1.2.2 Mode gadget...4 1.2.3 Mode graphique...

1 Démarrer... 3 1.1 L écran Isis...3 1.2 La boite à outils...3 1.2.1 Mode principal... 4 1.2.2 Mode gadget...4 1.2.3 Mode graphique... 1 Démarrer... 3 1.1 L écran Isis...3 1.2 La boite à outils...3 1.2.1 Mode principal... 4 1.2.2 Mode gadget...4 1.2.3 Mode graphique... 4 2 Quelques actions... 5 2.1 Ouvrir un document existant...5 2.2

Plus en détail

Compilation (INF 564)

Compilation (INF 564) Présentation du cours Le processeur MIPS Programmation du MIPS 1 Compilation (INF 564) Introduction & architecture MIPS François Pottier 10 décembre 2014 Présentation du cours Le processeur MIPS Programmation

Plus en détail

Le socle de sécurité nouvelle génération Consolider, virtualiser et simplifier les architectures sécurisées

Le socle de sécurité nouvelle génération Consolider, virtualiser et simplifier les architectures sécurisées Le socle de sécurité nouvelle génération Consolider, virtualiser et simplifier les architectures sécurisées sans compromis. Florent Fortuné ffortune@crossbeam.com 21 Mai 2008 Evolution des architectures

Plus en détail

Tout savoir sur le matériel informatique

Tout savoir sur le matériel informatique Tout savoir sur le matériel informatique Thème de l exposé : Les Processeurs Date : 05 Novembre 2010 Orateurs : Hugo VIAL-JAIME Jérémy RAMBAUD Sommaire : 1. Introduction... 3 2. Historique... 4 3. Relation

Plus en détail

Windows Server 2008. Chapitre 1: Découvrir Windows Server 2008

Windows Server 2008. Chapitre 1: Découvrir Windows Server 2008 Windows Server 2008 Chapitre 1: Découvrir Windows Server 2008 Objectives Identifier les caractéristiques de chaque édition de Windows Server 2008 Identifier les caractéristiques généraux de Windows Server

Plus en détail

Master4Light. Caractérisation Optique et Electrique des Sources Lumineuses. Equipement 2-en-1 : source de courant et spectrophotomètre

Master4Light. Caractérisation Optique et Electrique des Sources Lumineuses. Equipement 2-en-1 : source de courant et spectrophotomètre DSF-M4L-Rev2.0-04/12 Master4Light Equipement 2-en-1 : source de courant et spectrophotomètre Interface graphique logicielle, connexion USB Configuration personnalisable : laboratoire et in-situ http://www.majantys.com

Plus en détail

PROJECT POUR LE SYSTÈME DE SURVEILLANCE PAR CAMERA BASÉ SUR TECHNOLOGIE AXIS, PANNEAUX SOLAIRES ET LUMIERE DU LEDS BLOC D APARTEMENT LAURIER.

PROJECT POUR LE SYSTÈME DE SURVEILLANCE PAR CAMERA BASÉ SUR TECHNOLOGIE AXIS, PANNEAUX SOLAIRES ET LUMIERE DU LEDS BLOC D APARTEMENT LAURIER. PROJECT POUR LE SYSTÈME DE SURVEILLANCE PAR CAMERA BASÉ SUR TECHNOLOGIE AXIS, PANNEAUX SOLAIRES ET LUMIERE DU LEDS BLOC D APARTEMENT LAURIER. OPCION 1: Cameras et Hardware block 1 et 2 avec Cameras à l

Plus en détail

TV NUMERIQUE MOBILE : DU DVB-T AU DVB-H

TV NUMERIQUE MOBILE : DU DVB-T AU DVB-H 115189_TechnoN32 21/11/05 16:11 Page 56 56-57 : DU DVB-T AU DVB-H Gérard POUSSET, V.P. Marketing : Business Development chez DiBcom Grâce à ses circuits de traitement de signal spécifiques, DiBcom propose

Plus en détail

SensOrLabs. a protocol validation platform for the IoT. Dominique Barthel, Quentin Lampin IMT/OLPS/BIZZ/MIS Apr 7th 2014, ST, CEA, LIG

SensOrLabs. a protocol validation platform for the IoT. Dominique Barthel, Quentin Lampin IMT/OLPS/BIZZ/MIS Apr 7th 2014, ST, CEA, LIG SensOrLabs a protocol validation platform for the IoT Dominique Barthel, Quentin Lampin IMT/OLPS/BIZZ/MIS Apr 7th 2014, ST, CEA, LIG SensOrLabs inspired by the ANR Senslab project http://www.senslab.info/

Plus en détail

Exemple PLS avec SAS

Exemple PLS avec SAS Exemple PLS avec SAS This example, from Umetrics (1995), demonstrates different ways to examine a PLS model. The data come from the field of drug discovery. New drugs are developed from chemicals that

Plus en détail

POSITION DESCRIPTION DESCRIPTION DE TRAVAIL

POSITION DESCRIPTION DESCRIPTION DE TRAVAIL Supervisor Titre du poste de la superviseure ou du superviseur : Coordinator, Communications & Political Action & Campaigns Coordonnatrice ou coordonnateur de la Section des communications et de l action

Plus en détail

Instructions Mozilla Thunderbird Page 1

Instructions Mozilla Thunderbird Page 1 Instructions Mozilla Thunderbird Page 1 Instructions Mozilla Thunderbird Ce manuel est écrit pour les utilisateurs qui font déjà configurer un compte de courrier électronique dans Mozilla Thunderbird et

Plus en détail

SMALL CITY COMMERCE (EL PEQUEÑO COMERCIO DE LAS PEQUEÑAS CIUDADES)

SMALL CITY COMMERCE (EL PEQUEÑO COMERCIO DE LAS PEQUEÑAS CIUDADES) CIUDADES) ES/08/LLP-LdV/TOI/149019 1 Project Information Title: Project Number: SMALL CITY COMMERCE (EL PEQUEÑO COMERCIO DE LAS PEQUEÑAS CIUDADES) ES/08/LLP-LdV/TOI/149019 Year: 2008 Project Type: Status:

Plus en détail

Quoi de neuf en contrôle/commande et systèmes embarqués (RIO, WSN...)?

Quoi de neuf en contrôle/commande et systèmes embarqués (RIO, WSN...)? Quoi de neuf en contrôle/commande et systèmes embarqués (RIO, WSN...)? Mathieu PACE National Instruments, Ingénieur d applications L architecture RIO se développe Processeur FPGA E/S E/S E/S personnalisées

Plus en détail

CA ARCserve Family of Solutions Pricing and Licensing

CA ARCserve Family of Solutions Pricing and Licensing CA ARCserve Family of Solutions Pricing and Licensing Catherine Hervier 4/10/11 CA ARCserve r16 Licensing Options Component Module Managed Capacity Monthly Subscription Per Server/ System Backup Server,

Plus en détail

xdsl Digital Suscriber Line «Utiliser la totalité de la bande passante du cuivre»

xdsl Digital Suscriber Line «Utiliser la totalité de la bande passante du cuivre» xdsl Digital Suscriber Line «Utiliser la totalité de la bande passante du cuivre» Le marché en France ~ 9 millions d abonnés fin 2005 ~ 6 millions fin 2004 dont la moitié chez l opérateur historique et

Plus en détail

StruxureWare Power Monitoring Expert v7.2

StruxureWare Power Monitoring Expert v7.2 StruxureWare Power Monitoring Expert v7.2 Disponible maintenant Sept 2013 Mise à jour vers PME 7.2 ION-E 6 6.0 6.0.1 Service Pack Gratuit Paid Upgrade SPM 7 7.0 7.0.1 Service Pack Gratuit Paid Upgrade

Plus en détail

Moteur DC: Comment faire varier sa vitesse?

Moteur DC: Comment faire varier sa vitesse? Moteur DC: Comment faire varier sa vitesse? Zone d'utilisation Moteur à excitation shunt Influence I e Petite perturbation : e.g. augmentation vitesse À partir de P : couple moteur P'' < couple résistant

Plus en détail

Mini_guide_Isis.pdf le 23/09/2001 Page 1/14

Mini_guide_Isis.pdf le 23/09/2001 Page 1/14 1 Démarrer...2 1.1 L écran Isis...2 1.2 La boite à outils...2 1.2.1 Mode principal...3 1.2.2 Mode gadgets...3 1.2.3 Mode graphique...3 2 Quelques actions...4 2.1 Ouvrir un document existant...4 2.2 Sélectionner

Plus en détail

NEC Virtual PC Center

NEC Virtual PC Center NEC Virtual PC Center 24 mai 2007 Thomas LUQUET 1 Problématiques du poste client Sécurité & accès à l information Protéger l information contre les menaces internes Séparer l utilisation du PC personnel

Plus en détail

MANAGEMENT SOFTWARE FOR STEEL CONSTRUCTION

MANAGEMENT SOFTWARE FOR STEEL CONSTRUCTION Ficep Group Company MANAGEMENT SOFTWARE FOR STEEL CONSTRUCTION KEEP ADVANCING " Reach your expectations " ABOUT US For 25 years, Steel Projects has developed software for the steel fabrication industry.

Plus en détail

Zeus V3.XX :: PRE-REQUIS TECHNIQUES

Zeus V3.XX :: PRE-REQUIS TECHNIQUES DEHO SYSTEMS - DTU102 Ind 5 du 20/06/13 Page 1/ 6 Zeus V3.XX :: PRE-REQUIS TECHNIQUES Architecture générale Jusqu'à 350 personnes. Installation monoposte ou multiposte avec un seul serveur. Le serveur

Plus en détail

L identification par radio fréquence principe et applications

L identification par radio fréquence principe et applications L identification par radio fréquence principe et applications Présentée par Abdelatif Bouchouareb École de technologie supérieure, Montréal Qc Novembre 2007 1 Les différents systèmes d identification 2

Plus en détail

Conception Systèmes numériques VHDL et synthèse automatique des circuits

Conception Systèmes numériques VHDL et synthèse automatique des circuits Année 2008-2009 Conception Systèmes numériques VHDL et synthèse automatique des circuits Travaux pratiques Pentium4 Présentation du simulateur VHDL sous environnement Cadence Présentation de l outil Synopsys

Plus en détail

42 GHz mm WAVE PMP. F.MAGNE Chief Scientist 11 AVRIL MICROWAVE-RF ARCHITECTURE PRODUITS TECHNOLOGIE

42 GHz mm WAVE PMP. F.MAGNE Chief Scientist 11 AVRIL MICROWAVE-RF ARCHITECTURE PRODUITS TECHNOLOGIE 42 GHz mm WAVE PMP F.MAGNE Chief Scientist 11 AVRIL MICROWAVE-RF ARCHITECTURE PRODUITS TECHNOLOGIE AGENDA 1. Principe architecture et performances 2. Produits 3. Technologies 4. Perspectives 5. Synthèse

Plus en détail

MAC-TC: programmation d un plate forme DSP-FPGA

MAC-TC: programmation d un plate forme DSP-FPGA MAC-TC: programmation d un plate forme DSP-FPGA Tanguy Risset avec l aide de: Nicolas Fournel, Antoine Fraboulet, Claire Goursaud, Arnaud Tisserand - p. 1/17 Plan Partie 1: le système Lyrtech Introduction

Plus en détail

Rappels d architecture

Rappels d architecture Assembleur Rappels d architecture Un ordinateur se compose principalement d un processeur, de mémoire. On y attache ensuite des périphériques, mais ils sont optionnels. données : disque dur, etc entrée

Plus en détail

Garage Door Monitor Model 829LM

Garage Door Monitor Model 829LM Garage Door Monitor Model 829LM To prevent possible SERIOUS INJURY or DEATH from a closing garage door: NEVER permit children to operate or play with door control push buttons or remote control transmitters.

Plus en détail

The new consumables catalogue from Medisoft is now updated. Please discover this full overview of all our consumables available to you.

The new consumables catalogue from Medisoft is now updated. Please discover this full overview of all our consumables available to you. General information 120426_CCD_EN_FR Dear Partner, The new consumables catalogue from Medisoft is now updated. Please discover this full overview of all our consumables available to you. To assist navigation

Plus en détail

RoomMate Guide de l'utilisateur

RoomMate Guide de l'utilisateur RoomMate Guide de l'utilisateur Antemak 19 Av. van Goidtsnoven B-1180, Bruxelles, Belgique +32.475.83.42.72 http://antemak.com info@antemak.com Par Steven Version 110311 Nous espérons que ce guide vous

Plus en détail

Grégoire de Lassence. Copyright 2006, SAS Institute Inc. All rights reserved.

Grégoire de Lassence. Copyright 2006, SAS Institute Inc. All rights reserved. Grégoire de Lassence 1 Grégoire de Lassence Responsable Pédagogie et Recherche Département Académique Tel : +33 1 60 62 12 19 gregoire.delassence@fra.sas.com http://www.sas.com/france/academic SAS dans

Plus en détail

Notions d IPMI et retour. Ecole d électronique numérique Fréjus 28 novembre 2012 Nicolas LETENDRE

Notions d IPMI et retour. Ecole d électronique numérique Fréjus 28 novembre 2012 Nicolas LETENDRE Notions d IPMI et retour d experience du LAPP Ecole d électronique numérique Fréjus 28 novembre 2012 Nicolas LETENDRE L IPMI (Intelligent Platform Management Interface) Définition d Interfaces de bas niveau

Plus en détail

Completed Projects / Projets terminés

Completed Projects / Projets terminés Completed Projects / Projets terminés Nouvelles normes Nouvelles éditions Publications spéciales publiées en français CAN/CSA-ISO/CEI 7498-1-95 (C2004), 1 re édition Technologies de l'information Interconnexion

Plus en détail

Remote Networking - Evolutions. Serge Lhermitte Technical Director, Southern Europe

Remote Networking - Evolutions. Serge Lhermitte Technical Director, Southern Europe Remote Networking - Evolutions Serge Lhermitte Technical Director, Southern Europe Un petit rappel L AP est connectée en mode RAP à un contrôleur Site distant (Agence / Maison / Magasin, etc ) GUEST Internet

Plus en détail

ARDUINO DOSSIER RESSOURCE POUR LA CLASSE

ARDUINO DOSSIER RESSOURCE POUR LA CLASSE ARDUINO DOSSIER RESSOURCE POUR LA CLASSE Sommaire 1. Présentation 2. Exemple d apprentissage 3. Lexique de termes anglais 4. Reconnaître les composants 5. Rendre Arduino autonome 6. Les signaux d entrée

Plus en détail

The impacts of m-payment on financial services Novembre 2011

The impacts of m-payment on financial services Novembre 2011 The impacts of m-payment on financial services Novembre 2011 3rd largest European postal operator by turnover The most diversified European postal operator with 3 business lines 2010 Turnover Mail 52%

Plus en détail

Paxton. ins-20605. Net2 desktop reader USB

Paxton. ins-20605. Net2 desktop reader USB Paxton ins-20605 Net2 desktop reader USB 1 3 2 4 1 2 Desktop Reader The desktop reader is designed to sit next to the PC. It is used for adding tokens to a Net2 system and also for identifying lost cards.

Plus en détail

Représenté par Eric Mamy A22 www.a22.fr présenté par CFR & CGL Consulting www.cgl-consulting.com

Représenté par Eric Mamy A22 www.a22.fr présenté par CFR & CGL Consulting www.cgl-consulting.com Représenté par Eric Mamy A22 www.a22.fr présenté par CFR & CGL Consulting www.cgl-consulting.com La Suite LOGIX La Suite LOGIX est un ensemble de produits pour le calcul et l optimisation complète des

Plus en détail

PowerShield 3 fournit toutes les informations nécessaires pour un diagnostic de premier niveau.

PowerShield 3 fournit toutes les informations nécessaires pour un diagnostic de premier niveau. Connectivité PowerShield 3 Logiciel de communication Toutes les marques et les produits cités appartiennent à leurs propriétaires respectifs. CONTROLE GRAPHIQUE DE L ETAT DE L ASI et des Capteurs d environnement

Plus en détail

Limitations of the Playstation 3 for High Performance Cluster Computing

Limitations of the Playstation 3 for High Performance Cluster Computing Introduction Plan Limitations of the Playstation 3 for High Performance Cluster Computing July 2007 Introduction Plan Introduction Intérêts de la PS3 : rapide et puissante bon marché L utiliser pour faire

Plus en détail

EMETTEUR ULB. Architectures & circuits. Ecole ULB GDRO ESISAR - Valence 23-27/10/2006. David MARCHALAND STMicroelectronics 26/10/2006

EMETTEUR ULB. Architectures & circuits. Ecole ULB GDRO ESISAR - Valence 23-27/10/2006. David MARCHALAND STMicroelectronics 26/10/2006 EMETTEUR ULB Architectures & circuits David MARCHALAND STMicroelectronics 26/10/2006 Ecole ULB GDRO ESISAR - Valence 23-27/10/2006 Introduction Emergence des applications de type LR-WPAN : Dispositif communicant

Plus en détail

IFT3902 : (Gestion de projet pour le) développement, (et la) maintenance des logiciels

IFT3902 : (Gestion de projet pour le) développement, (et la) maintenance des logiciels IFT3902 : (Gestion de projet pour le) développement, (et la) maintenance des logiciels Yann-Gaël Guéhéneuc Professeur adjoint guehene@iro.umontreal.ca, local 2345 Département d informatique et de recherche

Plus en détail

APT / Cryptolockers. Pierre Poggi WatchGuard France pierre.poggi@watchguard.com

APT / Cryptolockers. Pierre Poggi WatchGuard France pierre.poggi@watchguard.com APT / Cryptolockers Pierre Poggi WatchGuard France pierre.poggi@watchguard.com 1 Houston, on a un problème! «J ai un antivirus et un IPS à jour mais je me suis fait infecter malgré tout» Première raison

Plus en détail

Exigences système Commercial & Digital Printing

Exigences système Commercial & Digital Printing Exigences système OneVision Software AG Sommaire Speedflow Check 10.0, Speedflow Check Plus 10.0, Speedflow Edit 10.0 (Windows),... 2 Speedflow Recompose 10.0...2 Speedflow Edit 10.0 (Macintosh OSX)...2

Plus en détail

Editing and managing Systems engineering processes at Snecma

Editing and managing Systems engineering processes at Snecma Editing and managing Systems engineering processes at Snecma Atego workshop 2014-04-03 Ce document et les informations qu il contient sont la propriété de Ils ne doivent pas être copiés ni communiqués

Plus en détail

La Poste choisit l'erp Open Source Compiere

La Poste choisit l'erp Open Source Compiere La Poste choisit l'erp Open Source Compiere Redwood Shores, Calif. Compiere, Inc, leader mondial dans les progiciels de gestion Open Source annonce que La Poste, l'opérateur postal français, a choisi l'erp

Plus en détail

Catalogue des stages Ercom 2013

Catalogue des stages Ercom 2013 Catalogue des stages Ercom 2013 Optimisations sur Modem LTE Poste basé à : Caen (14) Analyse et optimisation des performances des traitements réalisés dans un modem LTE. - Profiling et détermination des

Plus en détail

en SCÈNE RATIONAL Rational Démonstration SDP : automatisation de la chaîne de développement Samira BATAOUCHE sbataouche@fr.ibm.com

en SCÈNE RATIONAL Rational Démonstration SDP : automatisation de la chaîne de développement Samira BATAOUCHE sbataouche@fr.ibm.com Rational Démonstration SDP : automatisation de la chaîne de développement Samira BATAOUCHE sbataouche@fr.ibm.com Fabrice GRELIER fabrice.grelier@fr.ibm.com RATIONAL en SCÈNE 2007 IBM Corporation Objectif

Plus en détail

Surveillance de Scripts LUA et de réception d EVENT. avec LoriotPro Extended & Broadcast Edition

Surveillance de Scripts LUA et de réception d EVENT. avec LoriotPro Extended & Broadcast Edition Surveillance de Scripts LUA et de réception d EVENT avec LoriotPro Extended & Broadcast Edition L objectif de ce document est de présenter une solution de surveillance de processus LUA au sein de la solution

Plus en détail

La diffusion du Registre du Commerce et des Sociétés en France

La diffusion du Registre du Commerce et des Sociétés en France La diffusion du Registre du Commerce et des Sociétés en France Electronic dissemination of the French Register of Commerce and Trade Jacques DOUCEDE Président du GIE Infogreffe - Greffier du Tribunal de

Plus en détail

Fonctionnement et performance des processeurs

Fonctionnement et performance des processeurs Fonctionnement et performance des processeurs Eric Cariou Université de Pau et des Pays de l'adour Département Informatique Eric.Cariou@univ-pau.fr 1 Plan Fonctionnement des processeurs Unités de calcul

Plus en détail

Networking Solutions. Worldwide VSAT Maintenance VSAT dans le Monde Entretien. Satellite Communications Les Communications par Satellite

Networking Solutions. Worldwide VSAT Maintenance VSAT dans le Monde Entretien. Satellite Communications Les Communications par Satellite www.dcs-eg.com DCS TELECOM SAE is an Egyptian based Information Technology System Integrator company specializes in tailored solutions and integrated advanced systems, while also excelling at consulting

Plus en détail

Information and Communication Networks. NGN VoIP

Information and Communication Networks. NGN VoIP Information and Communication Networks NGN VoIP Agenda VoIP: les motivations VoIP dans le Backbone voix et données Evolution du RTC en NGN VoIP VoIP dans les réseaux d accès Résumé, Conclusions 8/19/2010

Plus en détail

NanoSense. Protocole Modbus de la sonde Particules P4000. (Version 01F)

NanoSense. Protocole Modbus de la sonde Particules P4000. (Version 01F) NanoSense 123 rue de Bellevue, 92100 Boulogne Billancourt France Tél : 33-(0) 1 41 41 00 02, fax : 33-(0) 1 41 41 06 72 Protocole Modbus de la sonde Particules P4000 (Version 01F) Ver V01A V01B V01C V01D

Plus en détail

Intel Corporation Nicolas Biguet Business Development Manager Intel France

Intel Corporation Nicolas Biguet Business Development Manager Intel France Les serveurs pour l Entreprise Intel Corporation Nicolas Biguet Business Development Manager Intel France 1 Les orientations stratégiques Clients Réseaux Serveurs Fournir les les éléments de de base des

Plus en détail

WiFi Security Camera Quick Start Guide. Guide de départ rapide Caméra de surveillance Wi-Fi (P5)

WiFi Security Camera Quick Start Guide. Guide de départ rapide Caméra de surveillance Wi-Fi (P5) #45 #46 WiFi Security Camera Quick Start Guide Guide de départ rapide Caméra de surveillance Wi-Fi (P5) #47 Start Here 1 Is this you? TECH SUPPORT CTRL ALT DEL 2 If yes, turn to page three 1 3 If not,

Plus en détail

Integrated Modular Avionic

Integrated Modular Avionic Integrated Modular Avionic Laurent Pautet Laurent.Pautet@enst.fr Version 1.1 Laurent Pautet 1 Systèmes avioniques Ensemble de fonctions permettant à un aéronef civil ou militaire d exécuter sa mission

Plus en détail