Option Introduction à la modélisation et à la vérification des systèmes numériques Planche de TP n 4

Dimension: px
Commencer à balayer dès la page:

Download "Option Introduction à la modélisation et à la vérification des systèmes numériques Planche de TP n 4"

Transcription

1 Université Joseph Fourier Année 2014/2015 Master 1 Informatique Option Introduction à la modélisation et à la vérification des systèmes numériques Planche de TP n 4 Synthèse FPGA avec Quartus ( Nous allons finir la conception du système de contrôle pour un four à micro-ondes par sa synthèse FPGA sur carte Altera Cyclone II DE1. Vous vous placerez dans le répertoire projet_fpga et exécuterez (source) au préalable le fichier.bashrc_quartus11_1. 1. Avant de pouvoir procéder à cette synthèse sur FPGA, il faut adapter votre description VHDL en conséquence. Nous allons utiliser divers dispositifs d'entrées/sorties (voir dessin ci-dessous) : - les entrées primaires Half_power, Full_power, Start, s30, s60, s120, Time_set, Door_open seront activées par les switches (on allumera également la led rouge associée) - l'état de la FSM sera affiché sur l'afficheur 7 segments de droite (hex0) - on allumera des leds vertes (à droite) pour faire apparaître les passages à '1' des sorties Full, Half, In_light, Finished. - les binômes qui ont réalisé le compteur pourront le synthétiser aussi, et afficher sa valeur sur les afficheurs 7 segments de gauche (hex3 à hex1). Les autres binômes devront ajouter l'entrée Timeout comme une entrée primaire.

2 L'entité du système devra être modifiée comme suit pour prévoir les interactions avec les dispositifs d'entrées/sorties : entity Oven is port(reset, clk, Half_power, Full_power, Start, s30, s60, s120, Time_set, Door_open: in std_logic; Full, Half, In_light, Finished: out std_logic; LEDR : out std_logic_vector(7 downto 0); -- pour leds rouges LEDG : out std_logic_vector(3 downto 0); -- pour leds vertes seg_val_hex0: out INTEGER range 0 to 127; -- pour affichage etat seg_val_hex1: out INTEGER range 0 to 127; -- pour affichage cpt seg_val_hex2: out INTEGER range 0 to 127; -- pour affichage cpt ); end Oven; seg_val_hex3: out INTEGER range 0 to pour affichage cpt Afin de fournir les informations nécessaires sur le brochage de la carte, l'architecture de l'entité ci-dessus devra contenir des déclarations telles que ci-dessous (à compléter, voir les explications concernant l'attribut chip_pin à hdl/vhdl/vhdl_file_dir_chip.htm et les informations fournies plus bas et en annexe) : attribute chip_pin : string; attribute chip_pin of reset : signal is "R22"; -- bouton poussoir de droite attribute chip_pin of clk : signal is "L1"; MHz internal quartz -- attribution des entrées aux switches (par ex ici de gauche à droite) : attribute chip_pin of Half_power: signal is "M2"; -- SW 7 attribute chip_pin of Full_power : signal is "U11"; -- SW 6 attribute chip_pin of Start : signal is "U12"; -- SW 5 -- et ainsi de suite... (à compléter) -- descriptif des afficheurs 7 segments : attribute chip_pin of seg_val_hex0 : signal is "J2,J1,H2,H1,F2,F1,E2"; -- idem pour seg_val_hex1 et seg_val_hex3, à compléter (voir ci-dessous) -- attribution des sorties LEDR et LEDG aux leds (par ex ici de gauche à -- droite) : attribute chip_pin of LEDR : signal is "U18,Y18,V19,T18,Y19,U19,R19,R20"; -- idem pour LEDG (à compléter) Noter que, sur cette carte, les pins des afficheurs 7 segments sont définis comme suit :

3 L'entité et l'architecture vont devoir être modifiées afin d'afficher l'état symbolique sur les afficheurs 7 segments, et éclairer les leds. Dans l'état symbolique auquel on choisira d'attribuer la valeur 0, la sortie seq_val_hex0 sera affectée comme suit : seg_val_hex0 <= 2# #; -- 0 et on fera de même les affectations suivantes dans les états auxquels on attribuera 1, 2, etc seg_val_hex0 <= 2# #; -- 1 seg_val_hex0 <= 2# #; -- 2 seg_val_hex0 <= 2# #; -- 3 seg_val_hex0 <= 2# #; -- 4 seg_val_hex0 <= 2# #; -- 5 seg_val_hex0 <= 2# #; -- 6 seg_val_hex0 <= 2# #; -- 7 seg_val_hex0 <= 2# #; -- 8 seg_val_hex0 <= 2# #; -- 9 Pour l'allumage des leds rouges selon les valeurs des entrées, on pourra faire : LEDR(7) <= Half_power; LEDR(6) <= Full_power; -- et ainsi de suite (bien noter l'ordre choisi). On pensera également à l'allumage des leds vertes en fonction des valeurs des sorties. Attention à maintenir à '0' toutes les leds inutilisées. Enfin, il faudra modifier la description utilisée jusqu'ici afin d'avoir des resets actifs bas, et d'utiliser une horloge ralentie en divisant l'horloge de synchronisation (quartz à 50 MHz) en utilisant le process diviseur suivant : -- Diviseur Quartz 50Mhz PROCESS (clk) VARIABLE cnt : INTEGER RANGE 0 TO ; CONSTANT verrou_t : INTEGER := ; BEGIN IF (clk'event AND clk = '1') THEN IF (reset = '0') OR (cnt = verrou_t ) THEN cnt := 0; ELSE cnt := cnt + 1; END IF; END IF; IF (cnt = verrou_t ) THEN ck_1hz <= '1'; -- ck_1hz signal local ELSE ck_1hz <= '0'; END IF; END PROCESS; 2. On pourra alors procéder à la synthèse et aux tests. Lancer l'outil de synthèse par la commande quartus. Lors de sa première exécution, il est conseillé de faire Tools options, et de décocher "show welcome screen" et cocher "re-open current project at startup". Pour créer un nouveau projet, faire File New project wizard, puis bouton Next; choisir un répertoire et un nom de projet (et bouton Next); choisir les fichiers à inclure dans le projet (et bouton Next); choisir Family et Device comme indiqué sur la copie d'écran ci-dessous (et bouton

4 Next); sur l'écran suivant faire Next; et enfin finir par Finish. Il faut alors cliquer sur le nom du projet, faire File Open et ouvrir le fichier contenant la top cell, et faire Project Set as top-level entity (attention : le nom de l'entity doit être identique au préfixe du nom du fichier qui la contient). Puis faire Assignments Device, cliquer sur le bouton Device and Pin Options, puis choisir l'onglet Unused pins, et dans le menu déroulant sélectionner "As input tri-stated". On peut alors lancer la compilation par Processing Start compilation. Il faudra s'assurer que la compilation n'a pas échoué, et noter alors le nombre de CLB et de flipflops occupés (voir ex. ci-dessous).

5 A noter que le menu Tools Netlist_Viewers RTL Viewer vous permet aussi de visualiser le système synthétisé (double-cliquer sur un composant vous permet d'en voir le contenu). Il est alors possible de programmer la FPGA (via la connexion USB) et de procéder aux tests. Utiliser le menu Tools Programmer, cliquer sur le bouton Hardware Setup et sélectionner USB_Blaster, puis cliquer sur le bouton Start (attention, la case à cocher Program/Configure doit être cochée). Une fois la carte configurée, vous pouvez procéder aux manipulations (les entrées seront modifiées grâce aux switches, vous pourrez recommencer les manipulations à tout moment après avoir fait un reset). A rendre : - à la fin du TP : votre description VHDL modifiée pour la synthèse sur FPGA (commenter clairement les modifications) + une indication sur les résultats de synthèse (nombre de blocs logiques, registres) et sur l'exécution sur FPGA : qu'avez-vous pu tester, et avec quelles manipulations? Est-ce cohérent par rapport au comportement attendu? - avant le 30 Avril soir (par mail à Laurence Pierre) : le rapport sur toute la conception de cet exemple, depuis la spécification jusqu'à la synthèse (aux différentes synthèses plus exactement, avec analyse de leurs résultats), en passant par la vérification d'assertions. Ce rapport devra faire le point sur tout ce processus, et relater clairement toute votre démarche. En particulier, un aspect important est lié à la validation durant tout le processus de conception : avez-vous détecté et corrigé des erreurs durant la simulation initiale, grâce aux assertions, après visualisation des résultats de synthèse, et si oui de quels types et grâce à quelles observations? Les sections recommandées pour ce rapport sont : 1) Introduction : contexte et objectifs de ce projet, quelles méthodes d'eda doit-il illustrer? comment?, etc Caractéristiques de l'étude de cas traitée dans ce projet, que permet-elle d'illustrer sur la modélisation des systèmes matériels?, etc... 2) Modélisation et simulation de l'étude de cas : présentation de l'étude de cas, explication de la modélisation VHDL, validation : choix des scénarios de test utilisés et résultats de couverture associés, et résultats de simulation (chronogrammes lisibles) commentés 3) Synthèse ASIC : résultats de synthèse comparatifs commentés (codage utilisé par l'outil, nombre de flips-flops et pourquoi, surface du circuit, fréquence d'horloge, ) avec conclusions, et résultats comparatifs de simulation commentés (reconnaît-on la concordance des états et sorties?). 4) Validation avec assertions PSL : propriétés PSL avant et après synthèse commentées avec soin, résultats de simulation avec ces propriétés (copies commentées des chronogrammes) : qu'avez-vous observé? Est-ce cohérent par rapport à votre design? A-t-il été corrigé à cette étape et comment? Vos scénarios ont-ils dû être revus pour permettre toutes les vérifications de façon pertinente?... 5) Synthèse FPGA : modifications des descriptions VHDL pour cette synthèse sur FPGA et pourquoi, résultats de synthèse (nombre de blocs logiques, registres), scénarios exécutés sur FPGA et conclusions de ces observations, comparaison par rapport à la synthèse ASIC, avez-vous encore corrigé des erreurs à cette étape?, 6) Conclusions sur la démarche de conception et les outils utilisés dans ce projet, que permettent-ils de faire, que ne permettent-ils pas, comment se présenterait un processus plus complet?... NB. Ne mettre en annexe que les compléments d'information (sources VHDL commentés des testbenches, rapports produits par Leonardo Spectrum, ), pas les informations essentielles à la compréhension du sujet et du travail réalisé, ni les résultats de simulation et synthèse et leur analyse!

6 Annexe.

SIN-FPGA DESCRIPTION PAR SCHEMA

SIN-FPGA DESCRIPTION PAR SCHEMA SIN-FPGA DESCRIPTION PAR SCHEMA Documents ressources: http://www.altera.com/literature/lit-index.html Introduction to Quartus II : intro_to_quartus2.pdf Documentation QUARTUS II : quartusii_handbook.pdf

Plus en détail

Manipulations du laboratoire

Manipulations du laboratoire Manipulations du laboratoire 1 Matériel Les manipulations de ce laboratoire sont réalisées sur une carte électronique comprenant un compteur 4-bit asynchrone (74LS93) avec possibilité de déclenchement

Plus en détail

RapidMiner. Data Mining. 1 Introduction. 2 Prise en main. Master Maths Finances 2010/2011. 1.1 Présentation. 1.2 Ressources

RapidMiner. Data Mining. 1 Introduction. 2 Prise en main. Master Maths Finances 2010/2011. 1.1 Présentation. 1.2 Ressources Master Maths Finances 2010/2011 Data Mining janvier 2011 RapidMiner 1 Introduction 1.1 Présentation RapidMiner est un logiciel open source et gratuit dédié au data mining. Il contient de nombreux outils

Plus en détail

Le langage VHDL. Eduardo Sanchez EPFL

Le langage VHDL. Eduardo Sanchez EPFL Le langage VHDL Eduardo Sanchez EPFL Livres conseillés: John F. Wakerly Digital design (4th edition) Prentice Hall, 2005 Peter J. Ashenden The designer's guide to VHDL (3rd edition) Morgan Kaufmann, 2008

Plus en détail

PIC EVAL Dev Board PIC18F97J60

PIC EVAL Dev Board PIC18F97J60 PIC EVAL Dev Board PIC18F97J60 2 TP1 : Prise en main de l environnement de programmation pour la carte PIC EVAL-ANFA Pour répondre aux questions et justifier vos réponses, vous pouvez faire des copies

Plus en détail

Travaux pratiques avec RapidMiner

Travaux pratiques avec RapidMiner Travaux pratiques avec RapidMiner Master Informatique de Paris 6 Spécialité IAD Parcours EDOW Module Algorithmes pour la Fouille de Données Janvier 2012 Prise en main Généralités RapidMiner est un logiciel

Plus en détail

BIRT (Business Intelligence and Reporting Tools)

BIRT (Business Intelligence and Reporting Tools) BIRT (Business Intelligence and Reporting Tools) Introduction Cette publication a pour objectif de présenter l outil de reporting BIRT, dans le cadre de l unité de valeur «Data Warehouse et Outils Décisionnels»

Plus en détail

ÉCOLE POLYTECHNIQUE DE MONTRÉAL. Département de Génie Électrique. La technologie de TEXAS INSTRUMENTS DSP pour le cours Analyse des Signaux ELE2700

ÉCOLE POLYTECHNIQUE DE MONTRÉAL. Département de Génie Électrique. La technologie de TEXAS INSTRUMENTS DSP pour le cours Analyse des Signaux ELE2700 ÉCOLE POLYTECHNIQUE DE MONTRÉAL Département de Génie Électrique La technologie de TEXAS INSTRUMENTS DSP pour le cours Analyse des Signaux ELE2700 M. Corinthios et Zaher Dannawi 29 août 2007 2 Tables des

Plus en détail

Conception Systèmes numériques VHDL et synthèse automatique des circuits

Conception Systèmes numériques VHDL et synthèse automatique des circuits Année 2008-2009 Conception Systèmes numériques VHDL et synthèse automatique des circuits Travaux pratiques Pentium4 Présentation du simulateur VHDL sous environnement Cadence Présentation de l outil Synopsys

Plus en détail

Conception Systèmes numériques VHDL et synthèse automatique des circuits

Conception Systèmes numériques VHDL et synthèse automatique des circuits Année 2011-2012 Conception Systèmes numériques VHDL et synthèse automatique des circuits Travaux pratiques WIDEMACV1 LAAS-CNRS 2011 Présentation du simulateur VHDL sous environnement Cadence Présentation

Plus en détail

Guide d installation logicielle

Guide d installation logicielle Guide d installation logicielle Drivers USB pour Windows XP/2000 X-Edit Editor/Librarian pour Windows XP/2000 Nous tenons à vous remercier d avoir choisi ce processeur DigiTech USB. Ce guide d installation

Plus en détail

Installation d'une galerie photos Piwigo sous Microsoft Windows.

Installation d'une galerie photos Piwigo sous Microsoft Windows. Installation d'une galerie photos Piwigo sous Microsoft Windows. By ARNOULD Julien Introduction : Piwigo est un logiciel de galerie photo pour le web, bâti autour d'une communauté active d'utilisateurs

Plus en détail

T. BLOTIN Lycée Paul-Eluard 93206 SAINT-DENIS

T. BLOTIN Lycée Paul-Eluard 93206 SAINT-DENIS T. BLOTIN Lycée Paul-Eluard 93206 SAINT-DENIS SOMMAIRE I. Le VHDL pour qui, pourquoi, quand, comment? A. Le VHDL!...... 1 B. Pourquoi un langage de description?...... 1 C. Les limites actuelles...... 2

Plus en détail

ARDUINO DOSSIER RESSOURCE POUR LA CLASSE

ARDUINO DOSSIER RESSOURCE POUR LA CLASSE ARDUINO DOSSIER RESSOURCE POUR LA CLASSE Sommaire 1. Présentation 2. Exemple d apprentissage 3. Lexique de termes anglais 4. Reconnaître les composants 5. Rendre Arduino autonome 6. Les signaux d entrée

Plus en détail

1 Démarrer... 3 1.1 L écran Isis...3 1.2 La boite à outils...3 1.2.1 Mode principal... 4 1.2.2 Mode gadget...4 1.2.3 Mode graphique...

1 Démarrer... 3 1.1 L écran Isis...3 1.2 La boite à outils...3 1.2.1 Mode principal... 4 1.2.2 Mode gadget...4 1.2.3 Mode graphique... 1 Démarrer... 3 1.1 L écran Isis...3 1.2 La boite à outils...3 1.2.1 Mode principal... 4 1.2.2 Mode gadget...4 1.2.3 Mode graphique... 4 2 Quelques actions... 5 2.1 Ouvrir un document existant...5 2.2

Plus en détail

Carte Relais GSM (Manuel Utilisateur)

Carte Relais GSM (Manuel Utilisateur) Carte Relais GSM (Manuel Utilisateur) Carte Relais GSM Introduction Cette carte est une véritable centrale de télécommande et d alarme par GSM. Elle se connecte par un port série à un modem GSM compatible

Plus en détail

Contrôler plusieurs ordinateurs avec un clavier et une souris

Contrôler plusieurs ordinateurs avec un clavier et une souris Contrôler plusieurs ordinateurs avec un clavier et une souris Si vous utilisez plusieurs ordinateurs sous Windows à la maison ou au bureau, il peut être compliqué de passer d'un ordinateur à un autre,

Plus en détail

Guide de l exportation postale en ligne Objets interdits et admis conditionnellement

Guide de l exportation postale en ligne Objets interdits et admis conditionnellement UPU UNION POSTALE UNIVERSELLE Guide de l exportation postale en ligne Objets interdits et admis conditionnellement Le Guide de l exportation postale est une publication de l'upu disponible en français

Plus en détail

Affichage de la date d'exigibilité sur les documents FAQ INV 011

Affichage de la date d'exigibilité sur les documents FAQ INV 011 ADAPTATION DANS LA FACTURATION BOB50 Affichage de la date d'exigibilité sur les documents FAQ INV 011 Comment afficher la date d'exigibilité de la TVA sur les factures et notes de crédit? Lorsque le Report

Plus en détail

Prêt de série et création de groupes d emprunteurs

Prêt de série et création de groupes d emprunteurs BCDI v 2.2x - Prêt de série Modif : 11/11 1 Prêt de série et création de groupes d emprunteurs 1. Le responsable de série Le prêt d une série se fait par l intermédiaire d un responsable de série. Il n

Plus en détail

Exporter des écritures. Importer des écritures. Depuis EBP Comptabilité. www.ebp.com

Exporter des écritures. Importer des écritures. Depuis EBP Comptabilité. www.ebp.com Coala Comptabilité (Ecritures) Depuis EBP Comptabilité Accédez à l option du Sélectionnez Transmettre des données à votre expert comptable (ou à votre client). Cochez la case Dossier complet si vous souhaitez

Plus en détail

Se débarrasser des emails indésirables

Se débarrasser des emails indésirables Se débarrasser des emails indésirables Qui ne reçoit pas fréquemment par mail des offres d'achats de médicaments, cigarettes ou de logiciels, quand ce n'est pas pour vanter des sites Internet pour adultes?

Plus en détail

Securexam Consignes pour l EFU Les 2, 3 et 4 juin 2015

Securexam Consignes pour l EFU Les 2, 3 et 4 juin 2015 Securexam Consignes pour l EFU Les 2, 3 et 4 juin 2015 ATTENTION : Consignes aux candidats qui doivent encrypter leur clé USB : Une fois votre ordinateur démarré, avant de lancer Securexam (CA), procédez

Plus en détail

Pour configurer le Hitachi Tecom AH4021 afin d'ouvrir les ports pour "chatserv.exe", vous devez suivre la proc

Pour configurer le Hitachi Tecom AH4021 afin d'ouvrir les ports pour chatserv.exe, vous devez suivre la proc Pour configurer le Hitachi Tecom AH4021 afin d'ouvrir les ports pour "chatserv.exe", vous devez suivre la proc Adresse : http://192.168.1.1 - Pour ceux qui sont avec le fournisseur d'acces Internet ALICE

Plus en détail

NOTICE TELESERVICES : Créer mon compte personnel

NOTICE TELESERVICES : Créer mon compte personnel NOTICE TELESERVICES : Créer mon compte personnel Sommaire Sommaire... 1 Objet de la notice... 1 A qui s adresse cette notice?... 1 Pré-requis... 1 Le guide pas à pas pour créer votre compte personnel...

Plus en détail

http://manageengine.adventnet.com/products/opmanager/download.html?free

http://manageengine.adventnet.com/products/opmanager/download.html?free Introduction Opmanager est un outil de supervision des équipements réseau. Il supporte SNMP, WMI et des scripts ssh ou Telnet pour récupérer des informations sur les machines. Toutefois les machines doivent

Plus en détail

FileZilla. Sauvegarder son site Guppy à l aide de. Sommaire:

FileZilla. Sauvegarder son site Guppy à l aide de. Sommaire: FileZilla http://filezilla.sourceforge.net/ Sauvegarder son site Guppy à l aide de Sommaire: P. 2 Téléchargement et installation P. 3 Paramétrage du transfert P. 3 L'environnement de Filezilla P. Sauvegarde

Plus en détail

Créer et gérer des catégories sur votre site Magento

Créer et gérer des catégories sur votre site Magento Créer et gérer des catégories sur votre site Magento Version utilisée pour l élaboration de ce guide : Magento 1.3.0 Rédigé par : Olivia Contexte Pour créer un site sous Magento, vous allez être obligé

Plus en détail

Boîtier pour disque dur externe 3,5" (8,89cm) USB 2.0

Boîtier pour disque dur externe 3,5 (8,89cm) USB 2.0 Boîtier pour disque dur externe 3,5" (8,89cm) USB 2.0 Guide d'utilisation DA-71051 Avant-propos Nous tenons à vous féliciter d'avoir choisi l'un de nos produits! Nous allons vous montrer un nouveau concept

Plus en détail

PRODIGE V3. Manuel utilisateurs. Consultation des métadonnées

PRODIGE V3. Manuel utilisateurs. Consultation des métadonnées PRODIGE V3 Manuel utilisateurs Consultation des métadonnées Pour plus d'information sur le dispositif : à remplir par chaque site éventuellement 2 PRODIGE V3 : Consultation des métadonnées SOMMAIRE 1.

Plus en détail

Comment Utiliser les Versions, les Modification, les Comparaisons, Dans les Documents

Comment Utiliser les Versions, les Modification, les Comparaisons, Dans les Documents Comment Utiliser les Versions, les Modification, les Comparaisons, Dans les Documents Diffusé par Le Projet Documentation OpenOffice.org Table des Matières 1. Les Versions...3 2. Les Modifications...5

Plus en détail

GUIDE UTILISATEUR SYSTEMES CCTV

GUIDE UTILISATEUR SYSTEMES CCTV GUIDE UTILISATEUR SYSTEMES CCTV 2SECURE 3 chemin des mules 13124 PEYPIN www.2secure.fr - 1 - SOMMAIRE : 1 ACCEDER / SORTIR D UN MENU :...3 2 VISUALISER UN ENREGISTREMENT SUR LE DVR :...3 3 SAUVEGARDER

Plus en détail

COMMANDER A DISTANCE LE ROBOT-PONG ETUDE DE LA TELECOMMANDE (2 nde PARTIE)

COMMANDER A DISTANCE LE ROBOT-PONG ETUDE DE LA TELECOMMANDE (2 nde PARTIE) SIN STI2D - Système d'information et Numérique TD TP Cours Synthèse Devoir Evaluation Projet Document ressource COMMANDER A DISTANCE LE ROBOT-PONG ETUDE DE LA TELECOMMANDE (2 nde PARTIE) 1 GESTION DES

Plus en détail

Pluridisciplinarité. Classe de BTS DATR 1 -----------------------------------------------------------------------

Pluridisciplinarité. Classe de BTS DATR 1 ----------------------------------------------------------------------- Pluridisciplinarité Classe de BTS DATR 1 ----------------------------------------------------------------------- Module M53: Diagnostic de territoire cible dans le secteur des services. -----------------------------------------------------------------------

Plus en détail

Comment paramétrer et sauvegarder les configurations d Altium Designer?

Comment paramétrer et sauvegarder les configurations d Altium Designer? 1/2009 13.01.2009 Paramétrage d Altium Designer Question: Comment paramétrer et sauvegarder les configurations d Altium Designer? Contexte: De nombreux clients posent souvent les questions suivantes :

Plus en détail

Date de diffusion : Rédigé par : Version : Mars 2008 APEM 1.4. Sig-Artisanat : Guide de l'utilisateur 2 / 24

Date de diffusion : Rédigé par : Version : Mars 2008 APEM 1.4. Sig-Artisanat : Guide de l'utilisateur 2 / 24 Guide Utilisateur Titre du projet : Sig-Artisanat Type de document : Guide utilisateur Cadre : Constat : Les Chambres de Métiers doivent avoir une vision prospective de l'artisanat sur leur territoire.

Plus en détail

Procédure : Sauvegarder un Windows 7 sur un disque réseau

Procédure : Sauvegarder un Windows 7 sur un disque réseau Procédure : Sauvegarder un Windows 7 sur un disque réseau Pour achever cette procédure, aucune installation n'est nécessaire. Dans cette procédure, nous sauvegarderons ces éléments : Les documents des

Plus en détail

INFORM :: DEMARRAGE RAPIDE A service by KIS

INFORM :: DEMARRAGE RAPIDE A service by KIS - Création d'un formulaire Ce petit mémo a pour but de donner une vue d'ensemble des étapes menant à la création d'un formulaire avec in- Form. Bien que générique ce document fournit également les informations

Plus en détail

INSTRUCTIONS D INSTALLATION SOUS WINDOWS 7 / WINDOWS VISTA / WINDOWS XP

INSTRUCTIONS D INSTALLATION SOUS WINDOWS 7 / WINDOWS VISTA / WINDOWS XP Que vous soyez passionné par les jeux de tir subjectif, les jeux de rôles en ligne massivement multijoueurs ou les jeux RTS (Real Time Strategy), le gamepad Razer Nostromo vous permet de maîtriser votre

Plus en détail

Service d'authentification LDAP et SSO avec CAS

Service d'authentification LDAP et SSO avec CAS Service d'authentification LDAP et SSO avec CAS Clé de l'extension : ig_ldap_sso_auth 2006-2007, Michaël Gagnon, Ce document est publié sous la licence open source, disponible au

Plus en détail

La gestion des boîtes aux lettres partagées

La gestion des boîtes aux lettres partagées La gestion des boîtes aux lettres partagées La gestion des boîtes aux lettres partagées - page Présentation du module Partage de mail. Qu'est ce qu'une boîte aux lettres partagée? Il s'agit d'une boîte

Plus en détail

L'émulateur multi-système

L'émulateur multi-système L'émulateur multi-système Par : Tongame Difficulté : 1) Présentation générale Mess est un émulateur multi-systèmes permettant d'émuler un nombre impressionnant de machines, micro-ordinateurs et consoles.

Plus en détail

Contro ler plusieurs ordinateurs avec un clavier et une souris

Contro ler plusieurs ordinateurs avec un clavier et une souris Contro ler plusieurs ordinateurs avec un clavier et une souris Par Clément JOATHON Dernière mise à jour : 28/10/2014 Si vous utilisez plusieurs ordinateurs sous Windows à la maison ou au bureau, il peut

Plus en détail

MANUEL D INSTRUCTION

MANUEL D INSTRUCTION MANUEL D INSTRUCTION ---------- Régulateur de Charge Solaire pour deux batteries, Pour Caravanes, Camping-Cars & Bateaux Courant (12V or 12/24V automatique) NOTES: Utilisable seulement avec des panneaux

Plus en détail

Modem routeur ADSL2/2+ WIFI avec switch 4 ports Guide d'installation rapide

Modem routeur ADSL2/2+ WIFI avec switch 4 ports Guide d'installation rapide Modem routeur ADSL/+ WIFI avec switch 4 ports Guide d'installation rapide Contenu. Descriptions.... Connexion du routeur ADSL/+ Switch 4 ports... 3 3. Configuration TCP/IP...5 Windows ME/98...5 Windows

Plus en détail

NOTICE D' UTILISATION CAMWORKS FRAISAGE. Luc Vallée Lycée Blaise Pascal Segré

NOTICE D' UTILISATION CAMWORKS FRAISAGE. Luc Vallée Lycée Blaise Pascal Segré NOTICE D' UTILISATION Luc Vallée Lycée Blaise Pascal Segré FRAISAGE SOMMAIRE allée Sciences et techniques Fiche n 1 - Généralités principe....page 3 Fiche n 2 - Lancer une application fraisage...page 7

Plus en détail

REALISATION D UNE CALCULATRICE GRACE AU LOGICIEL CROCODILE CLIPS 3.

REALISATION D UNE CALCULATRICE GRACE AU LOGICIEL CROCODILE CLIPS 3. 1 sur 6 REALISATION D UNE CALCULATRICE GRACE AU LOGICIEL CROCODILE CLIPS 3. OBJECTIF - PUBLIC - LOGICIEL - MATERIEL - METHODE - BIBLIOGRAPHIE - AVANTAGES - DIFFICULTES - AUTEUR DU DOCUMENT - LE DOCUMENT

Plus en détail

Travaux Pratiques de Commande par ordinateur 1 TRAVAUX PRATIQUES

Travaux Pratiques de Commande par ordinateur 1 TRAVAUX PRATIQUES TRAVAUX PRATIQUES Le présent travail vise à développer une interface visuelle de programmation des entrées- sorties du port LPT d un PC à l aide du logiciel VISUAL BASIC. I- EDITION ET TEST DU PROGRAMME

Plus en détail

Introduction à Eclipse

Introduction à Eclipse Introduction à Eclipse Eclipse IDE est un environnement de développement intégré libre (le terme Eclipse désigne également le projet correspondant, lancé par IBM) extensible, universel et polyvalent, permettant

Plus en détail

Formulaires et Compteurs

Formulaires et Compteurs Formulaires et Compteurs Création de formulaires et de compteurs avec extensions FrontPage ou ASP. INFORMATIONS CONNEXES Le but du présent manuel est de permettre à une personne familière d Internet de

Plus en détail

Sauvegarder sa messagerie Gmail sur son ordinateur

Sauvegarder sa messagerie Gmail sur son ordinateur Sauvegarder sa messagerie Gmail sur son ordinateur Par Clément JOATHON Dernière mise à jour : 19/12/2014 Vous utilisez quotidiennement la messagerie Gmail de Google pour votre courrier électronique. Il

Plus en détail

GUIDE DE L'UTILISATEUR POUR LES UNITES DE SAUVEGARDE DE SERIE HD

GUIDE DE L'UTILISATEUR POUR LES UNITES DE SAUVEGARDE DE SERIE HD GUIDE DE L'UTILISATEUR POUR LES UNITES DE SAUVEGARDE DE SERIE HD NOUS VOUS REMERCIONS de vous être procuré l'unité de sauvegarde ClickFreeMC Backup. Les présentes directives ont pour objectif de vous aider

Plus en détail

Utilisation de GCM (Google Cloud Messaging) pour Android. Partie préliminaire éventuelle : Création d'un AVD lisant GCM

Utilisation de GCM (Google Cloud Messaging) pour Android. Partie préliminaire éventuelle : Création d'un AVD lisant GCM Utilisation de GCM (Google Cloud Messaging) pour Android Ce TP est inspiré de : http://android.amolgupta.in/2012/07/google-cloud-messaging-gcm-tutorial.html On va écrire deux parties. Une application Android

Plus en détail

SimpleOCR, un logiciel gratuit de reconnaissance de caractères

SimpleOCR, un logiciel gratuit de reconnaissance de caractères SimpleOCR, un logiciel gratuit de reconnaissance de caractères Qu'est-ce que c'est? Si vous possédez un scanneur, vous avez sans doute déjà scanné des pages de livres contenant du texte et été surpris

Plus en détail

MS SQL Express 2005 Sauvegarde des données

MS SQL Express 2005 Sauvegarde des données MS SQL Express 2005 Sauvegarde des données Création : 08.04.2011 Modification : 08.04.2011 Situation La base de données gratuite MSSQL Express 2005 ne dispose pas d agent (planificateur de tâche) comme

Plus en détail

Création d'un questionnaire (sondage)

Création d'un questionnaire (sondage) Création d'un questionnaire (sondage) Le but de ce petit tuto est d'avoir les séquences pas à pas pour la création d'un questionnaire de façon à ne pas devoir rechercher la manière de procéder si l'outil

Plus en détail

INSTALLATION... 1 PARAMÈTRAGE... 4 UTILISATION... 10

INSTALLATION... 1 PARAMÈTRAGE... 4 UTILISATION... 10 TUTORIAL SPAMIHILATOR INSTALLATION... 1 PARAMÈTRAGE... 4 UTILISATION... 10 INSTALLATION 1. Pour installer le programme SPAMIHILATOR, il suffit de choisir tous les choix par défaut qui sont proposés. 2.

Plus en détail

TUTORIEL D INSTALLATION D ORACLE ET DE SQL DEVELOPPER TUTORIEL D INSTALLATION D ORACLE...1 ET DE SQL DEVELOPPER...1

TUTORIEL D INSTALLATION D ORACLE ET DE SQL DEVELOPPER TUTORIEL D INSTALLATION D ORACLE...1 ET DE SQL DEVELOPPER...1 TUTORIEL D INSTALLATION D ORACLE ET DE SQL DEVELOPPER Sur Windows Contenu TUTORIEL D INSTALLATION D ORACLE...1 ET DE SQL DEVELOPPER...1 I-Installation d «Oracle Database Express Edition»...2 Etape 1 :

Plus en détail

Sommaire 1 CONFIGURER SA MESSAGERIE 2 2 CONSULTER VOS MAILS SUR INTERNET (WEBMAIL) 7 3 PROBLEMES POSSIBLES 8

Sommaire 1 CONFIGURER SA MESSAGERIE 2 2 CONSULTER VOS MAILS SUR INTERNET (WEBMAIL) 7 3 PROBLEMES POSSIBLES 8 Sommaire 1 CONFIGURER SA MESSAGERIE 2 2 CONSULTER VOS MAILS SUR INTERNET (WEBMAIL) 7 3 PROBLEMES POSSIBLES 8 3.1 Over quota 8 3.1.1 Configurer votre messagerie pour qu elle ne conserve pas une copie des

Plus en détail

Boîtier disque dur SATA 3,5 pouces Fonction économie d énergie

Boîtier disque dur SATA 3,5 pouces Fonction économie d énergie Boîtier disque dur SATA 3,5 pouces Fonction économie d énergie PX-1106 Chère cliente, Cher client, Nous vous remercions pour l achat de ce boîtier de disque dur. Faites de votre disque dur une mémoire

Plus en détail

1 ) INSTALLATION DE LA CONSOLE 2 2 ) PREMIER DÉMARRAGE DE LA CONSOLE 3 3 ) LES JOBS 4 4 ) LES ORDINATEURS 6

1 ) INSTALLATION DE LA CONSOLE 2 2 ) PREMIER DÉMARRAGE DE LA CONSOLE 3 3 ) LES JOBS 4 4 ) LES ORDINATEURS 6 1 ) INSTALLATION DE LA CONSOLE 2 2 ) PREMIER DÉMARRAGE DE LA CONSOLE 3 3 ) LES JOBS 4 4 ) LES ORDINATEURS 6 5 ) RÉINSTALLATION COMPLÈTE D UN ORDINATEUR 8 6 ) DÉTAILS D UN JOB 9 7 ) RELANCER UN JOB INCOMPLET

Plus en détail

Le langage C. Séance n 4

Le langage C. Séance n 4 Université Paris-Sud 11 Institut de Formation des Ingénieurs Remise à niveau INFORMATIQUE Année 2007-2008 Travaux pratiques d informatique Le langage C Séance n 4 But : Vous devez maîtriser à la fin de

Plus en détail

Comment accéder à une vision synthétique de mon activité commerciale?

Comment accéder à une vision synthétique de mon activité commerciale? Comment accéder à une vision synthétique de mon activité commerciale? Cliquez sur l onglet "Devis Factures". Vous visualisez le chiffre d affaires sur l année en cours, le tableau de bord, le récapitulatif

Plus en détail

Logiciel : GLPI Version : 0.72.4 SYNCRHONISATION DE GLPI AVEC ACTIVE DIRECTORY. Auteur : Claude SANTERO Config. : Windows 2003.

Logiciel : GLPI Version : 0.72.4 SYNCRHONISATION DE GLPI AVEC ACTIVE DIRECTORY. Auteur : Claude SANTERO Config. : Windows 2003. Ce document est libre de droit, merci simplement de respecter son auteur. Toutes remarques ou commentaires seront les bienvenues. ATTENTION : La mise à jour par script entre GLPI et Active Directory ne

Plus en détail

Comment créer un nouveau compte? Pour une Borne en local on via Internet

Comment créer un nouveau compte? Pour une Borne en local on via Internet Comment créer un nouveau compte? Pour une Borne en local on via Internet Cette procédure va vous permettre de créer un logiciel pour une borne. Tous les logiciels Photo & Book que vous allez créer vont

Plus en détail

Guide d installation des licences Solid Edge-NB RB-18-09-2012

Guide d installation des licences Solid Edge-NB RB-18-09-2012 Ce document vous guide dans la gestion, l installation et la mise à jour des licences Solid Edge. Contenu Les types de licences...2 Le site GTAC : support.ugs.com...3 Création d un compte GTAC...3 Identifiant

Plus en détail

Projet M1 Année scolaire 2013/2014

Projet M1 Année scolaire 2013/2014 Institut Supérieur de l Électronique et du Numérique Tél. : +33 (0)2.98.03.84.00 Fax : +33 (0)2.98.03.84.10 20, rue Cuirassé Bretagne CS 42807-29228 BREST Cedex 2 - FRANCE Projet M1 Année scolaire 2013/2014

Plus en détail

Manuel BlueFolder ADMINISTRATION

Manuel BlueFolder ADMINISTRATION Version 1.0 Manuel BlueFolder administration Page - 1 - Manuel BlueFolder ADMINISTRATION Configuration Utilisation Version 1.0 Manuel BlueFolder administration Page - 2 - Manuel BlueFolder... 1 Description

Plus en détail

Open Office - Présentation

Open Office - Présentation 1 Définition 1.1 Diaporama Un diaporama est une succession de diapositives, chaque diapositive correspond à un écran d'ordinateur. Les diapositives peuvent être affichées de façon séquentielle ou par appel

Plus en détail

Comment faire des étiquettes

Comment faire des étiquettes Comment faire des étiquettes Révision 0.1 31/03/2004 Réalisé avec : OOo 1.1.0 Plate-forme / Os : Toutes n révision, mode d'emploi n révision : x.yz x : n de version majeure, par exemple 0 pour une phase

Plus en détail

Transmission d informations sur le réseau électrique

Transmission d informations sur le réseau électrique Transmission d informations sur le réseau électrique Introduction Remarques Toutes les questions en italique devront être préparées par écrit avant la séance du TP. Les préparations seront ramassées en

Plus en détail

Récupérer les documents stockés sur l ENTG

Récupérer les documents stockés sur l ENTG Récupérer les documents stockés sur l ENTG Pour PC Le logiciel «BITKINEX» permet de récupérer les documents stockés dans l outil «Document» de l ENTG La récupération s effectue 3 étapes: le téléchargement

Plus en détail

Réaliser un PUBLIPOSTAGE

Réaliser un PUBLIPOSTAGE Réaliser un PUBLIPOSTAGE avec le traitement de texte Writer ( OpenOffice ou LibreOffice ) Guide et captures d'écran réalisés avec la version 3.2 d'openoffice. Janvier 2011 - Jean-Claude EYRAUD Création

Plus en détail

MANUEL D INSTALLATION DES PRE REQUIS TECHNIQUES SALLE DES MARCHES V.7

MANUEL D INSTALLATION DES PRE REQUIS TECHNIQUES SALLE DES MARCHES V.7 MANUEL D INSTALLATION DES PRE REQUIS TECHNIQUES SALLE DES MARCHES V.7 Netscape 7.2 / Windows XP - 1 - SOMMAIRE 1. INTRODUCTION... 3 2. Configuration Requise... 3 1.1 Configuration du poste de travail...

Plus en détail

MANUEL D UTILISATION PRO-FACE

MANUEL D UTILISATION PRO-FACE MANUEL D UTILISATION PRO-FACE SOMMAIRE Chapitre 1 Procédure d utilisation 1. En créant un écran seul..... 2. En créant un écran plus un programme logique.. 1-1 1-2 Chapitre 2 Du début à la fin 1. Guide.....

Plus en détail

Les Utilisateurs dans SharePoint

Les Utilisateurs dans SharePoint Les Utilisateurs dans SharePoint La gestion des utilisateurs dans SharePoint SharePoint dont le cœur est l'outil collaboratif, Windows SharePoint Services. Chaque utilisateur (ou collaborateur) peut créer

Plus en détail

Contrôleur de communications réseau. Guide de configuration rapide DN1657-0606

Contrôleur de communications réseau. Guide de configuration rapide DN1657-0606 K T - N C C Contrôleur de communications réseau Guide de configuration rapide DN1657-0606 Objectif de ce document Ce Guide de configuration rapide s adresse aux installateurs qui sont déjà familiers avec

Plus en détail

HDDtoGO. Guide de l'utilisateur

HDDtoGO. Guide de l'utilisateur HDDtoGO Guide de l'utilisateur Guide de l'utilisateur version 3.4 2004-2010 CoSoSys SRL 2010 A-DATA Technology Co., Ltd. HDDtoGO Guide de l utilisateur Table des matières Table des matières...1 1. Introduction...3

Plus en détail

Google Drive, le cloud de Google

Google Drive, le cloud de Google Google met à disposition des utilisateurs ayant un compte Google un espace de 15 Go. Il est possible d'en obtenir plus en payant. // Google Drive sur le web Se connecter au site Google Drive A partir de

Plus en détail

Répéteur WiFi 108216 V1. www.avidsen.com

Répéteur WiFi 108216 V1. www.avidsen.com Répéteur WiFi 108216 V1 www.avidsen.com Important Avant de mettre votre produit en service, veuillez lire attentivement cette notice pour vous familiariser avec son fonctionnement. Dans le souci d une

Plus en détail

TD/TP 1 Introduction au SDK d Android

TD/TP 1 Introduction au SDK d Android TD/TP 1 Introduction au SDK d Android Romain Raveaux 1 Introduction Android est un système d'exploitation pour téléphone portable de nouvelle génération développé par Google. Celui-ci met à disposition

Plus en détail

Tutorial et Guide TeamViewer

Tutorial et Guide TeamViewer Tutorial et Guide TeamViewer TeamViewer est un programme qui permet de partager son bureau ou prendre la main d'un bureau à distance via internet partout dans le monde, et d'ainsi avoir l'opportunité de

Plus en détail

Modélisation et Gestion des bases de données avec mysql workbench

Modélisation et Gestion des bases de données avec mysql workbench Modélisation et Gestion des bases de données avec mysql workbench par novembre 2011 Table des matières 1 Installation 3 1.1 Ecran de chargement 3 1.2 Page d accueil 3 2 Réalisation d une base de données

Plus en détail

Débuter avec PsoC ou PsoC niveau 0

Débuter avec PsoC ou PsoC niveau 0 Débuter avec PsoC ou PsoC niveau 0 Objectifs : se familiariser avec les circuits PsoC et leur environnement, prendre en main le logiciel et le kit d'évaluation, développer une application simple avec le

Plus en détail

DATALOGGERS SEFRAM LOG1601 et LOG1620

DATALOGGERS SEFRAM LOG1601 et LOG1620 DATALOGGERS SEFRAM LOG1601 et LOG1620 Présentation des minis enregistreurs : Les minis enregistreurs SEFRAM LOG1601 et LOG1620 permettent d enregistrer des températures et un taux d humidité relative (LOG1620

Plus en détail

PROGRAMMATION EVENEMENTIELLE sur EXCEL

PROGRAMMATION EVENEMENTIELLE sur EXCEL MASTERs SMaRT & GSI PROGRAMMATION EVENEMENTIELLE sur EXCEL Pierre BONNET Programmation évènementielle La programmation évènementielle permet un appel de procédure depuis l'interface HMI d'excel (ou d'un

Plus en détail

ET REGISTRE DE PRESENCE

ET REGISTRE DE PRESENCE Fiche 4 LE PORTAIL DES EEDF CALENDRIER D ACTIVITES ET REGISTRE DE PRESENCE Groupes Dans cette fiche Gérer le calendrier Envoyer des invitations à partir d une date Tenir son registre de présence Le portail

Plus en détail

Clé USB Wi-Fi TP-Link TL-WN721N (150 Mbps) Manuel d installation

Clé USB Wi-Fi TP-Link TL-WN721N (150 Mbps) Manuel d installation Clé USB Wi-Fi TP-Link TL-WN721N (150 Mbps) Manuel d installation Pour Windows XP 1. Installation du pilote Insérez l adaptateur dans la fente USB de votre ordinateur portable. Vous allez voir apparaitre

Plus en détail

Un équipement (clé USB, disque dur, imprimante, etc.) est connecté au port USB.

Un équipement (clé USB, disque dur, imprimante, etc.) est connecté au port USB. 2 3 4 Power Ethernet USB Wireless Voice Broadband Internet Upgrade La box est sous tension et allumée. Un équipement (ordinateur, téléphone IP, etc.) est connecté à la box via un câble réseau Ethernet.

Plus en détail

Your Detecting Connection. Manuel de l utilisateur. support@xchange2.net

Your Detecting Connection. Manuel de l utilisateur. support@xchange2.net Your Detecting Connection Manuel de l utilisateur support@xchange2.net 4901-0128-5 ii Table des matières Table des matières Installation... 4 Conditions d utilisation de XChange 2...4 Définir vos Préférences

Plus en détail

WIFI-DMX INTERFACE AUTONOME V 1.5.0

WIFI-DMX INTERFACE AUTONOME V 1.5.0 WIFI-DMX INTERFACE AUTONOME V 1.5.0 SOMMAIRE Specifications techniques de l interface... 3 Brochage de l interface... 4 Câblage et connexion des 15 contacts externes... 5 Face avant de l interface... 6

Plus en détail

Mini_guide_Isis.pdf le 23/09/2001 Page 1/14

Mini_guide_Isis.pdf le 23/09/2001 Page 1/14 1 Démarrer...2 1.1 L écran Isis...2 1.2 La boite à outils...2 1.2.1 Mode principal...3 1.2.2 Mode gadgets...3 1.2.3 Mode graphique...3 2 Quelques actions...4 2.1 Ouvrir un document existant...4 2.2 Sélectionner

Plus en détail

Service Informatique et Télématique (SITEL), Emile-Argand 11, 2009 Neuchâtel, Tél. +41 032 718 2000, hotline.sitel@unine.ch.

Service Informatique et Télématique (SITEL), Emile-Argand 11, 2009 Neuchâtel, Tél. +41 032 718 2000, hotline.sitel@unine.ch. Terminal Server 1. Présentation Le terminal server est un service offert par les serveurs Windows 2000 ou par une version spéciale de windows NT 4.0 server, appelée Terminal Server. Un programme client

Plus en détail

Introduction aux outils BI de SQL Server 2014. Fouille de données avec SQL Server Analysis Services (SSAS)

Introduction aux outils BI de SQL Server 2014. Fouille de données avec SQL Server Analysis Services (SSAS) MIT820: Entrepôts de données et intelligence artificielle Introduction aux outils BI de SQL Server 2014 Fouille de données avec SQL Server Analysis Services (SSAS) Description générale Ce tutoriel a pour

Plus en détail

POVERELLO KASONGO Lucien SIO 2, SISR SITUATION PROFESSIONNELLE OCS INVENTORY NG ET GLPI

POVERELLO KASONGO Lucien SIO 2, SISR SITUATION PROFESSIONNELLE OCS INVENTORY NG ET GLPI POVERELLO KASONGO Lucien SIO 2, SISR SITUATION PROFESSIONNELLE OCS INVENTORY NG ET GLPI Contexte de la mission Suite à la multiplication des matériels et des logiciels dans les locaux de GSB, le service

Plus en détail

La gestion des serveurs de mail

La gestion des serveurs de mail La gestion des serveurs de mail La gestion des serveurs de mail - page Définition d'un serveur de mail Un serveur de messagerie électronique est une machine sur laquelle est installé un logiciel serveur

Plus en détail

Comment récupérer toutes vos données perdues ou effacées gratuitement!

Comment récupérer toutes vos données perdues ou effacées gratuitement! Comment récupérer toutes vos données perdues ou effacées gratuitement! Author : soufiane Bonjour, Avez-vous supprimé par coïncidence des fichiers importants? Avez-vous formaté votre disque dur et vous

Plus en détail

CARPE. Documentation Informatique S E T R A. Version 2.00. Août 2013. CARPE (Documentation Informatique) 1

CARPE. Documentation Informatique S E T R A. Version 2.00. Août 2013. CARPE (Documentation Informatique) 1 CARPE (Documentation Informatique) 1 CARPE Version 2.00 Août 2013 Documentation Informatique S E T R A Programme CARPE - Manuel informatique de l'utilisateur CARPE (Documentation Informatique) 2 Table

Plus en détail

Guide de l utilisateur

Guide de l utilisateur Guide de l utilisateur Félicitations pour votre nouveau EasyPad 700. Veuillez suivre attentivement les instructions contenues dans ce manuel. Déclaration: Si la version finale de ce produit possède des

Plus en détail