Partie 7 Communication série UART Universal Asynchronous Receiver Transmitter

Dimension: px
Commencer à balayer dès la page:

Download "Partie 7 Communication série UART Universal Asynchronous Receiver Transmitter"

Transcription

1 Cours/TD d informatique embarquée Utilisation d un microcontrôleur MBED Partie 7 Communication série UART Universal Asynchronous Receiver Transmitter Plan du cours 7.1. Introduction 7.2. Liaison 3 fils 7.3. Horloge et débit 7.4. Trame 7.5. Utilisation de la liaison série avec mbed CV C/TD INFO2 - Partie 7 page 1

2 7.1. Introduction Pour communiquer entre composants (envoyer et recevoir des données) on utilise couramment des La communication de base est la (norme ). Cette communication est l'ancêtre de l USB sur les PCs. Elle est encore utilisée dans l industrie et de nombreuses applications : Systèmes automatisés, commande de machine outils, GPS, modem... Le terme "Asynchrone" signifie qu il n y a pas. Le transfert des données dans les deux sens : on parle de communication La liaison physique possède 3 fils (sortie) (entrée) Masse (0V) Un UART est un circuit émetteur-récepteur asynchrone universel. Universal Asynchronous Receiver Transmitter Un UART est composé d'une unité de et d'une unité. Ces deux unités sont connectés sur le. Ils possèdent une entrée d'horloge et un Enable qui permet de les activer ou de les désactiver. CV C/TD INFO2 - Partie 7 page 2

3 7.2 Liaison 3 fils L'émission de l'un des composants est reçue par l'autre. la sortie de l un correspond à l entrée de l autre... donc entre deux composants on. Ces trois fils suffisent pour réaliser une communication série. Il est possible (mais pas obligatoire) d'ajouter des fils pour contrôler le transfert des informations : - «prêt à émettre» (RTS) et «prêt à recevoir» (CTS) On parle de (hardware flux control). 7.3 Horloge et débit La communication série n'utilise pas de synchronisation. => Les horloges doivent être (configurable sur chaque composant) On parle de débit en ( ou bps). Débits possibles : 1200 bauds 2400 bauds 4800 bauds 9600 bauds bauds bauds bauds bauds Pour que la communication puisse se faire correctement, 2 bits sont ajoutés en début et en fin de transmission : (on décroche le téléphone) (on raccroche) Cela permet au récepteur de rester (à chaque bit de start le récepteur se resynchronise). CV C/TD INFO2 - Partie 7 page 3

4 7.4 Trame Au repos, le bus est à. (lorsque personne ne parle le bus reste à ) 1. Le passage à débute la communication : 2. On transmet généralement 7 ou 8 bits de données + éventuellemen un bit de parité (qui permet de vérifier si il ya eu une erreur lors de la transmission) Le premier bit est le (d0): LSB first 3. On termine la communication en passant à : Le bit de stop peut durer périodes d horloge. Exemple : signal relevé à l'oscilloscope lors de la transmission CV C/TD INFO2 - Partie 7 page 4

5 Exercice 1 : Déterminer les caractères transmis pour ces deux chronogrammes, identifier le bit de Start et le bit de Stop. Exercice 2 : Trouver le code ascii des caractères 'M' et 'Z' en binaire, décimal et hexadécimal. Dessiner le chronogramme de la transmission de ces deux caractères. CV C/TD INFO2 - Partie 7 page 5

6 7.5 Utilisation des liaisons séries avec mbed La communication entre le PC (tera term) et le microcontrôleur est une. Le câble USB supporte une liaison série entre le PC et le µc (port série virtuel). En plus de l USB le LPC 1768 possède. Ils sont disponibles sur les broches (Tx/Rx) : p9 / p10 p13 / p14 p28 / p27 Comme le µc possède plusieurs UARTs il est possible de faire plusieurs communications séries simultanément. Les méthodes associées au type Serial sont dans le tableau ci-dessous : Serial Serial baud putc getc printf scanf readable writeable attach format Utilisation/méthode Permet de créer un port série, associé à deux broches spécifiques pour la transmission Tx et la réception Rx Permet de fixer la vitesse de transmission du port série Permet d envoyer un caractère sur le port série Permet de lire un caractère sur le port série Permet d envoyer une succession de caractères Permet de lire une succession de caractères Détermine si un caractère est disponible à la lecture Permet de savoir si le bus est disponible pour l'écriture Associe une fonction à exécuter lorsqu une interruption survient sur le port série Fixe le nombre de bits de donnée, la parité et la durée du bit de stop Exemple d utilisation : tunnel entre le PC et un UART du µc #include "mbed.h" Serial pc(usbtx, USBRX); // tx, rx - Liaison série 1 Serial device(p9, p10); // tx, rx - Liaison série 2 int main() { while(1) { if(pc.readable()) { device.putc(pc.getc()); if(device.readable()) { pc.putc(device.getc()); CV C/TD INFO2 - Partie 7 page 6

7 Exercice 3 : Réaliser et tester le programme précédent. Relever à l'oscilloscope le signal issu de la broche Tx. Combien de temps dure la transmission d'un caractère? Exemple : définition de la vitesse de transmission Serial device(p9, p10); // tx, rx int main() { device.baud(19200); device.printf("hello World\n"); Exercice 4 : Modifier le programme de l'exercice 3 pour fixer la vitesse de communication à bauds (modifier la vitesse de transmission sur tera term). Combien de temps dure la transmission d'un caractère? Exercice 5 : Connectez votre microcontrôleur à celui de votre voisin et envoyez vous des message via tera term. Exemple : routine liée à une interruption sur le port série DigitalOut led1(led1); DigitalOut led2(led2); Serial pc(usbtx, USBRX); void callback(); int main() { pc.attach(&callback); while (1) { led1.write(!led1.read()); wait(0.5); void callback() { printf("%c\n", pc.getc()); led2.write(!led2.read()); Exercice 6 : 1) Réaliser et tester le programme précédent. 2) Faites changer l'état de la LED2 de votre voisin en lui envoyant des caractères. CV C/TD INFO2 - Partie 7 page 7

8 Questions de cours : Q1) Que signifie UART? Q2) Combien de fils possède le bus de données? Q3) Quel est l'état de repos du bus? Q4) Quelle méthode permet de savoir si un caractère est disponible à la lecture? Q5) Est-il possible de connecter plus de 2 UARTs sur une même liaison série? Q6) Après le Start, quel est le 1er bit transmis (nom en anglais)? Q7) Peut-on déclencher une routine d'interruption avec un port série, si oui comment? Q8) Que signifie "baud"? Quelles sont les valeurs possibles? Q9) Comment est réalisé le bit de Start? Q10) Comment est réalisé le bit de Stop? Q11) Comment faut-il configurer les débits de l'émetteur et du récepteur? CV C/TD INFO2 - Partie 7 page 8

Transmissions série et parallèle

Transmissions série et parallèle 1. Introduction : Un signal numérique transmet généralement plusieurs digits binaires. Exemple : 01000001 ( huit bits). Dans une transmission numérique on peut envisager deux modes : les envoyer tous en

Plus en détail

Master d'informatique 1ère année Réseaux et protocoles. Couche physique

Master d'informatique 1ère année Réseaux et protocoles. Couche physique Master d'informatique 1ère année Réseaux et protocoles Couche physique Bureau S3-354 Mailto:Jean.Saquet@unicaen.fr http://saquet.users.greyc.fr/m1/rezopro Supports de communication Quelques exemples :

Plus en détail

ANALYSE TRAMEs LIAISON SERIE

ANALYSE TRAMEs LIAISON SERIE ANALYSE TRAMEs LIAISON SERIE 1 Objectifs de ce travail Nous allons étudier dynamiquement la liaison série en utilisant la simulation. La mise en œuvre des liaisons séries simulées et de TestCom est expliquée

Plus en détail

Université de La Rochelle. Réseaux TD n 6

Université de La Rochelle. Réseaux TD n 6 Réseaux TD n 6 Rappels : Théorème de Nyquist (ligne non bruitée) : Dmax = 2H log 2 V Théorème de Shannon (ligne bruitée) : C = H log 2 (1+ S/B) Relation entre débit binaire et rapidité de modulation :

Plus en détail

Description d'une liaison

Description d'une liaison escription d'une liaison I. Constitution d'une liaison...2 II. Modes d'exploitation...2 III. Normalisation des jonctions...2 III.1. Norme V28 (RS232) 3 III.2. Norme V11 (RS422 et RS485) 4 IV. Liaison V24

Plus en détail

ARDUINO DOSSIER RESSOURCE POUR LA CLASSE

ARDUINO DOSSIER RESSOURCE POUR LA CLASSE ARDUINO DOSSIER RESSOURCE POUR LA CLASSE Sommaire 1. Présentation 2. Exemple d apprentissage 3. Lexique de termes anglais 4. Reconnaître les composants 5. Rendre Arduino autonome 6. Les signaux d entrée

Plus en détail

2 Raccordement d une imprimante, d un terminal ou d un ordinateur au DULCOMARIN

2 Raccordement d une imprimante, d un terminal ou d un ordinateur au DULCOMARIN 1 Généralités L interface sérielle selon RS 232 sert exclusivement à l édition automatique des valeurs mesurées et des messages du DULCOMARIN sur une imprimante ou un PC. La longueur de caractères d une

Plus en détail

Programmation en langage C d un µcontrôleur PIC à l aide du compilateur C-CCS Sommaire

Programmation en langage C d un µcontrôleur PIC à l aide du compilateur C-CCS Sommaire Programmation en langage C d un µcontrôleur PIC à l aide du compilateur C-CCS CCS Sommaire Généralités sur le langage. 2 Structure d un programme en C.. 3 Les constantes et équivalences.. 4 Les variables...

Plus en détail

Comme chaque ligne de cache a 1024 bits. Le nombre de lignes de cache contenu dans chaque ensemble est:

Comme chaque ligne de cache a 1024 bits. Le nombre de lignes de cache contenu dans chaque ensemble est: Travaux Pratiques 3. IFT 1002/IFT 1005. Structure Interne des Ordinateurs. Département d'informatique et de génie logiciel. Université Laval. Hiver 2012. Prof : Bui Minh Duc. Tous les exercices sont indépendants.

Plus en détail

Bus de communication

Bus de communication Bus de communication Sylvain MONTAGNY sylvain.montagny@univ-savoie.fr Bâtiment chablais, bureau 13 04 79 75 86 86 Retrouver tous les documents de Cours/TD/TP sur le site www.master-electronique.com Présentation

Plus en détail

PROGRAMMATION D'UN MICROCONTRÔLEUR

PROGRAMMATION D'UN MICROCONTRÔLEUR Projet de Physique P6-3 STPI/P6-3/2009 44 PROGRAMMATION D'UN MICROCONTRÔLEUR Etudiants : Pierre HOUSSIN Peng GE Aël GAIN Enseignant-responsable du projet : Faouzi DHAOUADI Date de remise du rapport :

Plus en détail

ALERT NOTICE D UTILISATION DU DRIVER SIA

ALERT NOTICE D UTILISATION DU DRIVER SIA ALERT NOTICE D UTILISATION DU DRIVER SIA 1) Installation A) Installation du modem SIA Le modem SIA doit être connecté sur un port série du PC (COM1, ) et raccordé sur une ligne téléphonique analogique.

Plus en détail

Réalisation d un réseau de capteurs de température sans fil basé sur le protocole ZigBee

Réalisation d un réseau de capteurs de température sans fil basé sur le protocole ZigBee Cedric BEAUSSE Mohamed BOUGUERRA Hong Yu GUAN El Ayachi MOKTAD Projet avancé en systèmes embarqués 2006 / 2007 Réalisation d un réseau de capteurs de température sans fil basé sur le protocole ZigBee Sommaire

Plus en détail

Les liaisons SPI et I2C

Les liaisons SPI et I2C DAMÉCOURT BENJAMIN AVRIL 28 Liaisons synchrones Les liaisons SPI et I2C Face arrière d un imac : trois ports USB, un port Firewire 4 et un port Firewire 8 CHRONOLOGIE ANNÉES 7 La liaison SPI et la création

Plus en détail

CONFIGURATION ET UTILISATION

CONFIGURATION ET UTILISATION COMPARATEUR DE CODE GRAY POUR CODEURS A SORTIES PARALLELES CONFIGURATION ET UTILISATION CCN165 LOREME 12, rue des Potiers d'etain Actipole BORNY - B.P. 35014-57071 METZ CEDEX 3 Téléphone 03.87.76.32.51

Plus en détail

Suivant les windows, le signal pour indiquer l imprimante par défaut est un petit rond noir ou vers avec un V à l intérieur.

Suivant les windows, le signal pour indiquer l imprimante par défaut est un petit rond noir ou vers avec un V à l intérieur. La gestion des Imprimantes sous Facile_Caisse : Vous pouvez utiliser plusieurs sortes d imprimante avec le logiciel Facile_Caisse. Si vous possédez une imprimante classique au format A4, vous n aurez aucun

Plus en détail

GPA770 Microélectronique appliquée Exercices série A

GPA770 Microélectronique appliquée Exercices série A GPA770 Microélectronique appliquée Exercices série A 1. Effectuez les calculs suivants sur des nombres binaires en complément à avec une représentation de 8 bits. Est-ce qu il y a débordement en complément

Plus en détail

Projet M1 Année scolaire 2013/2014

Projet M1 Année scolaire 2013/2014 Institut Supérieur de l Électronique et du Numérique Tél. : +33 (0)2.98.03.84.00 Fax : +33 (0)2.98.03.84.10 20, rue Cuirassé Bretagne CS 42807-29228 BREST Cedex 2 - FRANCE Projet M1 Année scolaire 2013/2014

Plus en détail

STS SE. FreeRTOS. Programmation réseau WIFI. Programmation réseau. Socket Tcp. FlyPort smart Wi-Fi 802.11 module

STS SE. FreeRTOS. Programmation réseau WIFI. Programmation réseau. Socket Tcp. FlyPort smart Wi-Fi 802.11 module François SCHNEIDER Lycée Victor-Hugo BESANÇON. STS SE FreeRTOS Programmation réseau WIFI Programmation réseau Socket Tcp FlyPort smart Wi-Fi 802.11 module Prérequis : langage C, connaissance réseau : Ip,

Plus en détail

Débuter avec PsoC ou PsoC niveau 0

Débuter avec PsoC ou PsoC niveau 0 Débuter avec PsoC ou PsoC niveau 0 Objectifs : se familiariser avec les circuits PsoC et leur environnement, prendre en main le logiciel et le kit d'évaluation, développer une application simple avec le

Plus en détail

EPREUVE OPTIONNELLE d INFORMATIQUE CORRIGE

EPREUVE OPTIONNELLE d INFORMATIQUE CORRIGE EPREUVE OPTIONNELLE d INFORMATIQUE CORRIGE QCM Remarque : - A une question correspond au moins 1 réponse juste - Cocher la ou les bonnes réponses Barème : - Une bonne réponse = +1 - Pas de réponse = 0

Plus en détail

Ordinateurs, Structure et Applications

Ordinateurs, Structure et Applications Ordinateurs, Structure et Applications Cours 19, Le USB Etienne Tremblay Université Laval, Hiver 2012 Cours 19, p.1 USB signifie Universal Serial Bus USB Le USB a été conçu afin de remplacer le port série

Plus en détail

PocketNet SNMP/Modbus

PocketNet SNMP/Modbus Volume 1 RBEI-ADISCOM Manuel utilisateur PocketNet SNMP/Modbus CONVERTISSEUR SERIE - ETHERNET Manuel utilisateur PocketNet SNMP/Modbus Table des matières CHAPITRE 1 Caractéristiques utiles à la mise en

Plus en détail

NOTIONS DE RESEAUX INFORMATIQUES

NOTIONS DE RESEAUX INFORMATIQUES NOTIONS DE RESEAUX INFORMATIQUES GENERALITES Définition d'un réseau Un réseau informatique est un ensemble d'équipements reliés entre eux afin de partager des données, des ressources et d'échanger des

Plus en détail

Proteus Design Suite V7 Instruments virtuels

Proteus Design Suite V7 Instruments virtuels Proteus Design Suite V7 Instruments virtuels Le modèle d oscilloscope virtuel...2 Généralités...2 Utilisation de l oscilloscope...2 Le modèle d analyseur logique...5 Généralités...5 Utilisation de l analyseur

Plus en détail

NanoSense. Protocole Modbus de la sonde Particules P4000. (Version 01F)

NanoSense. Protocole Modbus de la sonde Particules P4000. (Version 01F) NanoSense 123 rue de Bellevue, 92100 Boulogne Billancourt France Tél : 33-(0) 1 41 41 00 02, fax : 33-(0) 1 41 41 06 72 Protocole Modbus de la sonde Particules P4000 (Version 01F) Ver V01A V01B V01C V01D

Plus en détail

Télécommunications. Plan

Télécommunications. Plan Télécommunications A.Maizate - EHTP 2010/2011 Plan Concepts généraux: Téléinformatique Liaison de Téléinformatique Sens de transmission Types de transmission Parallèle Série Techniques de Transmission

Plus en détail

Rappels Entrées -Sorties

Rappels Entrées -Sorties Fonctions printf et scanf Syntaxe: écriture, organisation Comportement Données hétérogènes? Gestion des erreurs des utilisateurs 17/11/2013 Cours du Langage C ibr_guelzim@yahoo.fr ibrahimguelzim.atspace.co.uk

Plus en détail

COMMUNICATION PC/MINITEL

COMMUNICATION PC/MINITEL 229 Cet article fait suite à ceux publiés dans les bulletins de l'epi n 47 page 207 et 48 page 205. Si vous avez réussi à construire un câble de liaison, vous vous êtes peut être demandé comment vous en

Plus en détail

Connexion sur REDLION G-308 avec le modem GSM GDW-11 pour envoi de SMS

Connexion sur REDLION G-308 avec le modem GSM GDW-11 pour envoi de SMS Connexion sur REDLION G-308 avec le modem GSM GDW-11 pour envoi de SMS Paramétrage du GDW-11 avec l utilitaire GD-Tool fourni avec le modem: 1. En utilisant GD-TOOL (connecté le modem sur le port série

Plus en détail

Oscilloscope numérique 100MHz 2[4] voies HMO1022 [HMO1024]

Oscilloscope numérique 100MHz 2[4] voies HMO1022 [HMO1024] Oscilloscope numérique 100MHz 2[4] voies HMO1022 [HMO1024] HMO1024 Sacoche de transport HZO90 Testeur de composants/ Générateur de signaux de Bus Interface double Ethernet/USB HO730 (en option) R 2 GSa/s

Plus en détail

CLIP. (Calling Line Identification Presentation) Appareil autonome affichant le numéro appelant

CLIP. (Calling Line Identification Presentation) Appareil autonome affichant le numéro appelant 1. Besoin CLIP (Calling Line Identification Presentation) Appareil autonome affichant le numéro appelant ETUDE FONCTIONNELLE De très nombreux abonnés du réseau téléphonique commuté ont exprimé le besoin

Plus en détail

ProCod. Manuel d utilisation. Software de programmation pour codeurs absolus TWK modèles CRF et DAF CRF 11069 DF 08 / 10

ProCod. Manuel d utilisation. Software de programmation pour codeurs absolus TWK modèles CRF et DAF CRF 11069 DF 08 / 10 Software de programmation pour codeurs absolus TWK modèles CRF et DAF CRF 11069 DF 08 / 10 Manuel d utilisation ProCod TWK France 13-15, avenue de Stalingrad 93170 BAGNOLET T. 01 43 62 00 05 F. 01 43 63

Plus en détail

Guide Mémoire NETRAM

Guide Mémoire NETRAM Guide Mémoire NETRAM Types de mémoires vives On distingue généralement deux grandes catégories de mémoires vives : Mémoires dynamiques (DRAM, Dynamic Random Access Module), peu coûteuses. Elles sont principalement

Plus en détail

I. TRANSMISSION DE DONNEES

I. TRANSMISSION DE DONNEES TD I. TRANSMISSION DE DONNEES 1. QU'EST-CE QU'UN CANAL DE TRANSMISSION? 1.1 Rappels Une ligne de transmission est une liaison entre les deux machines. On désigne généralement par le terme émetteur la machine

Plus en détail

Cours 7 : Programmation d une chaîne d acquisition

Cours 7 : Programmation d une chaîne d acquisition Cours 7 : Programmation d une chaîne d acquisition 4 Concepts 4 Programmation Cible Pentium : Langages de haut niveau Langage graphique G sous LabView + librairies de VI ; Langage C + librairies de fonctions

Plus en détail

ALERT NOTICE D UTILISATION DU DRIVER VOCALYS. (Cesa 200 bauds / Contact-ID)

ALERT NOTICE D UTILISATION DU DRIVER VOCALYS. (Cesa 200 bauds / Contact-ID) ALERT NOTICE D UTILISATION DU DRIVER VOCALYS (Cesa 200 bauds / Contact-ID) 1) Installation A) Installation du driver VOCALYS Lors de l installation du logiciel ALERT, le gestionnaire de communication «Vocalys

Plus en détail

Cours 1 : Qu est-ce que la programmation?

Cours 1 : Qu est-ce que la programmation? 1/65 Introduction à la programmation Cours 1 : Qu est-ce que la programmation? Yann Régis-Gianas yrg@pps.univ-paris-diderot.fr Université Paris Diderot Paris 7 2/65 1. Sortez un appareil qui peut se rendre

Plus en détail

Traitement de données

Traitement de données Traitement de données Présentation du module TINI Présentation du module : Le module Tini se décline en plusieurs versions, il est constitué d une carte d application et d un module processeur : Les modules

Plus en détail

Manuel d'utilisation. OctoBUS 64

Manuel d'utilisation. OctoBUS 64 Manuel d'utilisation OctoBUS 64 Responsabilités Garantie L'installateur s'engage à respecter les normes CE et les prescriptions d'installation. L'installation doit être effectuée par du personnel qualifié.

Plus en détail

Spécifications Techniques d Interface

Spécifications Techniques d Interface 67, Édition 2 / Octobre 2000 Spécifications Techniques d Interface SRXUOHUpVHDXGH)UDQFH7pOpFRP 'LUHFWLYH&( &DUDFWpULVWLTXHVGHVLQWHUIDFHVG DFFqV DXVHUYLFH7UDQVIL[0pWURSROLWDLQ 5pVXPp Ce document présente

Plus en détail

Architecture des ordinateurs

Architecture des ordinateurs Architecture des ordinateurs Cours 4 5 novembre 2012 Archi 1/22 Micro-architecture Archi 2/22 Intro Comment assembler les différents circuits vus dans les cours précédents pour fabriquer un processeur?

Plus en détail

Le multiplexage. Sommaire

Le multiplexage. Sommaire Sommaire Table des matières 1- GENERALITES... 2 1-1 Introduction... 2 1-2 Multiplexage... 4 1-3 Transmission numérique... 5 2- LA NUMERATION HEXADECIMALE Base 16... 8 3- ARCHITECTURE ET PROTOCOLE DES RESEAUX...

Plus en détail

16-700 / 16-701 / 16-702 / 16-703 Système de distribution audio A44/A88 Logiciel PC

16-700 / 16-701 / 16-702 / 16-703 Système de distribution audio A44/A88 Logiciel PC Système de distribution audio A44/A88 Logiciel PC 1. Configuration requise 2. Installation du logiciel 3. Liaison avec le PC 4. Utilisation du logiciel en mode standard 4.1. Connexion 4.2. Adapter les

Plus en détail

1. Structure d un programme C. 2. Commentaire: /*..texte */ On utilise aussi le commentaire du C++ qui est valable pour C: 3.

1. Structure d un programme C. 2. Commentaire: /*..texte */ On utilise aussi le commentaire du C++ qui est valable pour C: 3. 1. Structure d un programme C Un programme est un ensemble de fonctions. La fonction "main" constitue le point d entrée pour l exécution. Un exemple simple : #include int main() { printf ( this

Plus en détail

Notions d IPMI et retour. Ecole d électronique numérique Fréjus 28 novembre 2012 Nicolas LETENDRE

Notions d IPMI et retour. Ecole d électronique numérique Fréjus 28 novembre 2012 Nicolas LETENDRE Notions d IPMI et retour d experience du LAPP Ecole d électronique numérique Fréjus 28 novembre 2012 Nicolas LETENDRE L IPMI (Intelligent Platform Management Interface) Définition d Interfaces de bas niveau

Plus en détail

UE 503 L3 MIAGE. Initiation Réseau et Programmation Web La couche physique. A. Belaïd

UE 503 L3 MIAGE. Initiation Réseau et Programmation Web La couche physique. A. Belaïd UE 503 L3 MIAGE Initiation Réseau et Programmation Web La couche physique A. Belaïd abelaid@loria.fr http://www.loria.fr/~abelaid/ Année Universitaire 2011/2012 2 Le Modèle OSI La couche physique ou le

Plus en détail

Architecture des ordinateurs TD1 - Portes logiques et premiers circuits

Architecture des ordinateurs TD1 - Portes logiques et premiers circuits Architecture des ordinateurs TD1 - Portes logiques et premiers circuits 1 Rappel : un peu de logique Exercice 1.1 Remplir la table de vérité suivante : a b a + b ab a + b ab a b 0 0 0 1 1 0 1 1 Exercice

Plus en détail

INSTALLATION CARACTERISTIQUES TECHNIQUES LOGICIEL DE CONFIGURATION

INSTALLATION CARACTERISTIQUES TECHNIQUES LOGICIEL DE CONFIGURATION INSTALLATION CARACTERISTIQUES TECHNIQUES LOGICIEL DE CONFIGURATION Copie de la notice originale FRANCAIS 8540945-28/05/2015 - Rev.4 1 Sommaire INTRODUCTION... 3 Disposition des rayons... 3 RACCORDEMENTS

Plus en détail

FONCTION COMPTAGE BINAIRE ET DIVISION DE FRÉQUENCE

FONCTION COMPTAGE BINAIRE ET DIVISION DE FRÉQUENCE I/ GÉNÉRALITÉS I.1/ Fonction Un compteur binaire est utilisé : -pour compter un certain nombre d'évènements binaires -pour diviser la fréquence d'un signal logique par 2 m Page 1 FONCTION COMPTAGE BINAIRE

Plus en détail

Evaluation. Partie 1. 1-2- Quelles sont les possibilités offertes par cette caméra d un point de vue utilisation?

Evaluation. Partie 1. 1-2- Quelles sont les possibilités offertes par cette caméra d un point de vue utilisation? Nom:... Prénom:... Evaluation Année scolaire 20-20 Classe :... Date :... Etude d une installation de vidéosurveillance Ce devoir est constitué de deux parties. Dans la première nous réfléchirons sur l

Plus en détail

Système Electronique pour le Monitoring des Chaînes PV

Système Electronique pour le Monitoring des Chaînes PV Système Electronique pour le Monitoring des Chaînes PV Note d application Le système de Monitoring Cette Note d Application d écrit le système de Monitoring pour installations photovoltaïques (PV) développé

Plus en détail

Matériel & Logiciels (Hardware & Software)

Matériel & Logiciels (Hardware & Software) CHAPITRE 2 HARDWARE & SOFTWARE P. 1 Chapitre 2 Matériel & Logiciels (Hardware & Software) 2.1 Matériel (Hardware) 2.1.1 Présentation de l'ordinateur Un ordinateur est un ensemble de circuits électronique

Plus en détail

30.avr.10 Présentation miniprojet. 9.mars.10 Cours 3 4.mai.10 Cours C++ 1. 16.mars.10 Cours 4 11.mai.10 Cours C++ 2

30.avr.10 Présentation miniprojet. 9.mars.10 Cours 3 4.mai.10 Cours C++ 1. 16.mars.10 Cours 4 11.mai.10 Cours C++ 2 2ème partie de cours: 23.fév.10 Cours 1 20.avr.10 TEST 24.fév.10 TP1 21.avr.10 miniprojet 26.fév.10 TP réserve 23.avr.10 miniprojet 2.mars.10 Cours 2 27.avr.10 Présentation miniprojet 3.mars.10 TP2 28.avr.10

Plus en détail

Tout sur l USB L USB (Universal Serial Bus) a été élaboré en 1996 par Intel, Compaq,Digital,IBM,Microsoft,NEC et NorthTelec (USB 1.0).

Tout sur l USB L USB (Universal Serial Bus) a été élaboré en 1996 par Intel, Compaq,Digital,IBM,Microsoft,NEC et NorthTelec (USB 1.0). Tout sur l USB L USB (Universal Serial Bus) a été élaboré en 1996 par Intel, Compaq,Digital,IBM,Microsoft,NEC et NorthTelec (USB 1.0). Deux systèmes munis d un port (entrée-sortie) sont reliés par un câble.

Plus en détail

IV- Comment fonctionne un ordinateur?

IV- Comment fonctionne un ordinateur? 1 IV- Comment fonctionne un ordinateur? L ordinateur est une alliance du hardware (le matériel) et du software (les logiciels). Jusqu à présent, nous avons surtout vu l aspect «matériel», avec les interactions

Plus en détail

FRANCAIS DGM1. Centrale Vigik 1 porte. N d homologation : - PS-200306-01 - MS-200306-02. Gamme: Vigik MANUEL D INSTALLATION.

FRANCAIS DGM1. Centrale Vigik 1 porte. N d homologation : - PS-200306-01 - MS-200306-02. Gamme: Vigik MANUEL D INSTALLATION. ANCAIS N d homologation : - PS-200306-01 - MS-200306-02 Gamme: Vigik MANUEL D INSTALLATION Group Products 1] PRESENTATION DU PRODUIT Conforme Mifare - ISO 14443A (système ouvert à toutes les marques de

Plus en détail

Manipulations du laboratoire

Manipulations du laboratoire Manipulations du laboratoire 1 Matériel Les manipulations de ce laboratoire sont réalisées sur une carte électronique comprenant un compteur 4-bit asynchrone (74LS93) avec possibilité de déclenchement

Plus en détail

SAGEM Wi-Fi 11g USB ADAPTER Guide de mise en route rapide pour Mac OS X

SAGEM Wi-Fi 11g USB ADAPTER Guide de mise en route rapide pour Mac OS X SAGEM Wi-Fi 11g USB ADAPTER Guide de mise en route rapide pour Mac OS X But de ce guide Ce guide décrit la méthode d'installation et de configuration de votre SAGEM Wi-Fi 11g USB ADAPTER pour réseau sans

Plus en détail

IUT GEII MARSEILLE Patrick GUMUCHIAN. Lycée Alphonse Benoit L'Isle sur la Sorgue Marc SILANUS 2012-2013

IUT GEII MARSEILLE Patrick GUMUCHIAN. Lycée Alphonse Benoit L'Isle sur la Sorgue Marc SILANUS 2012-2013 IUT GEII MARSEILLE Patrick GUMUCHIAN Lycée Alphonse Benoit L'Isle sur la Sorgue Marc SILANUS 2012-2013 Rev 2 SOMMAIRE 1 - Objectifs...4 1.1 - Position du problème...4 1.2 - Mise en situation de l'élève...4

Plus en détail

Partie 1. Professeur : Haouati Abdelali. CPGE Lycée Omar Ibn Lkhattab - Meknès www.haouati.com haouaticpge@gmail.com

Partie 1. Professeur : Haouati Abdelali. CPGE Lycée Omar Ibn Lkhattab - Meknès www.haouati.com haouaticpge@gmail.com Partie 1 Professeur : Haouati Abdelali CPGE Lycée Omar Ibn Lkhattab - Meknès www.haouati.com haouaticpge@gmail.com Partie I : Généralités et algorithmique de base 1. Environnement matériel et logiciel

Plus en détail

La programmation des PIC en C. Les fonctions, les interruptions.

La programmation des PIC en C. Les fonctions, les interruptions. La programmation des PIC en C Les fonctions, les interruptions. Réalisation : HOLLARD Hervé. http://electronique-facile.com Date : 26 août 2004 Révision : 1.2 Sommaire Sommaire... 2 Introduction... 3 Structure

Plus en détail

Programmation d un contrôleur via une liaison téléphonique Note d application

Programmation d un contrôleur via une liaison téléphonique Note d application Programmation d un contrôleur via une liaison téléphonique, Français Version 1.0.0 24/12/02 ii General Copyright 2001 by WAGO Kontakttechnik GmbH Tous droits réservés. WAGO Kontakttechnik GmbH Hansastraße

Plus en détail

Ordinateurs, Structure et Applications

Ordinateurs, Structure et Applications Ordinateurs, Structure et Applications Cours 10, Les interruptions Etienne Tremblay Université Laval, Hiver 2012 Cours 10, p.1 Les interruptions du 8086 Une interruption interrompt l exécution séquentielle

Plus en détail

Configuration d'un GSM pour une transmission de données via une centrale de mesure de Campbell Scientific

Configuration d'un GSM pour une transmission de données via une centrale de mesure de Campbell Scientific Note technique N CSLF10092012-V3 Configuration d'un GSM pour une transmission de données via une centrale de mesure de Campbell Scientific Note technique rédigée par le service technique de Campbell Scientific

Plus en détail

212 Erreur accès anti- Echo. 214 Erreur démarrage vérif DSP. 215 Erreur accès trame IC. 216 Erreur DSP carte MSG. 217 Erreur données Carte MSG

212 Erreur accès anti- Echo. 214 Erreur démarrage vérif DSP. 215 Erreur accès trame IC. 216 Erreur DSP carte MSG. 217 Erreur données Carte MSG Liste s et de solutions Le tableau ci-dessous contient les erreurs et leurs solutions. Lorsqu'une erreur avec le code "*" survient dans l'ip-pbx, le voyant ALARM de la face frontale du meuble de base s'allume

Plus en détail

COMMUTEL PRO VM3 INTERFACE GSM VOIX POUR EMULATION DE LIGNE RTC ET TRANSMETTEUR DE SMS D ALERTES ET TECHNIQUES.

COMMUTEL PRO VM3 INTERFACE GSM VOIX POUR EMULATION DE LIGNE RTC ET TRANSMETTEUR DE SMS D ALERTES ET TECHNIQUES. COMMUTEL PRO VM3 INTERFACE GSM VOIX POUR EMULATION DE LIGNE RTC ET TRANSMETTEUR DE SMS D ALERTES ET TECHNIQUES. 1. DESCRIPTION DU PRODUIT COMMUTEL PRO VM3 est un Secours GSM Canal Voix et un transmetteur

Plus en détail

I- Définitions des signaux.

I- Définitions des signaux. 101011011100 010110101010 101110101101 100101010101 Du compact-disc, au DVD, en passant par l appareil photo numérique, le scanner, et télévision numérique, le numérique a fait une entrée progressive mais

Plus en détail

Système M-Bus NIEVEAU TERRAIN NIVEAU AUTOMATION NIVEAU GESTION. Domaines d'application

Système M-Bus NIEVEAU TERRAIN NIVEAU AUTOMATION NIVEAU GESTION. Domaines d'application 5 657 ENERGY MONITORING ET CONTROLLING EMC MeterProxy pour M-Bus CSM30.001 L'Energy Monitoring & Controlling EMC est un outil de gestion d'énergie d'utilisation aisée pour la surveillance et le contrôle

Plus en détail

Programmation système I Les entrées/sorties

Programmation système I Les entrées/sorties Programmation système I Les entrées/sorties DUT 1 re année Université de Marne La vallée Les entrées-sorties : E/O Entrées/Sorties : Opérations d échanges d informations dans un système informatique. Les

Plus en détail

Enregistreur sans papier avec écran TFT et carte Compact Flash. B 70.6580.2.0 Description des interfaces 07.07/00472200

Enregistreur sans papier avec écran TFT et carte Compact Flash. B 70.6580.2.0 Description des interfaces 07.07/00472200 nt Enregistreur sans papier avec écran TFT et carte Compact Flash B 70.6580.2.0 Description des interfaces 07.07/00472200 Sommaire 1 Introduction 5 1.1 Avant-propos... 5 1.2 Conventions typographiques...

Plus en détail

Plan du Travail. 2014/2015 Cours TIC - 1ère année MI 30

Plan du Travail. 2014/2015 Cours TIC - 1ère année MI 30 Plan du Travail Chapitre 1: Internet et le Web : Définitions et historique Chapitre 2: Principes d Internet Chapitre 3 : Principaux services d Internet Chapitre 4 : Introduction au langage HTML 2014/2015

Plus en détail

Transmission de données. A) Principaux éléments intervenant dans la transmission

Transmission de données. A) Principaux éléments intervenant dans la transmission Page 1 / 7 A) Principaux éléments intervenant dans la transmission A.1 Equipement voisins Ordinateur ou terminal Ordinateur ou terminal Canal de transmission ETTD ETTD ETTD : Equipement Terminal de Traitement

Plus en détail

SugarCubes. Jean-Ferdinand Susini Maître de Conférences, CNAM Chaire systèmes enfouis et embarqués. Paris, le 9 janvier, 2009

SugarCubes. Jean-Ferdinand Susini Maître de Conférences, CNAM Chaire systèmes enfouis et embarqués. Paris, le 9 janvier, 2009 SugarCubes Jean-Ferdinand Susini Maître de Conférences, CNAM Chaire systèmes enfouis et embarqués Paris, le 9 janvier, 2009 Plan 2 Les SugarCubes au dessus de J2ME Quelques résultats expérimentaux Les

Plus en détail

IFT1215 Introduction aux systèmes informatiques

IFT1215 Introduction aux systèmes informatiques Introduction aux circuits logiques de base IFT25 Architecture en couches Niveau 5 Niveau 4 Niveau 3 Niveau 2 Niveau Niveau Couche des langages d application Traduction (compilateur) Couche du langage d

Plus en détail

MICROCONTROLEURS PIC PROGRAMMATION EN C. V. Chollet - cours-pic-13b - 09/12/2012 Page 1 sur 44

MICROCONTROLEURS PIC PROGRAMMATION EN C. V. Chollet - cours-pic-13b - 09/12/2012 Page 1 sur 44 MICROCONTROLEURS PIC PROGRAMMATION EN C V. Chollet - cours-pic-13b - 09/12/2012 Page 1 sur 44 Chapitre 1 GENERALITES 1 DEFINITION Un microcontrôleur est un microprocesseur RISC (Reduced Instruction Set

Plus en détail

LOGICIEL DC4D MONITOR

LOGICIEL DC4D MONITOR THQtronic LOGICIEL DC4D MONITOR La communication entre PC et DC4D ne peut se faire qu à l aide du câble de liaison USB-TTL de FTDI référence TTL-232R-5V-AJ vendu en option. DC4D Monitor est la même application

Plus en détail

TD Architecture des ordinateurs. Jean-Luc Dekeyser

TD Architecture des ordinateurs. Jean-Luc Dekeyser TD Architecture des ordinateurs Jean-Luc Dekeyser Fiche 1 Nombres de l informatique Exercice 1 Une entreprise désire réaliser la sauvegarde de ses données sur un site distant. Le volume de données à sauvegarder

Plus en détail

Version beta. Station Météo 12/11/2012. Réalisation d un Station Météo avec Webserver composée de capteurs Grove et d un module Wifi Flyport.

Version beta. Station Météo 12/11/2012. Réalisation d un Station Météo avec Webserver composée de capteurs Grove et d un module Wifi Flyport. Version beta Station Météo 12/11/2012 Réalisation d un Station Météo avec Webserver composée de capteurs Grove et d un module Wifi Flyport. Table des matières Révisions du document... 1 I. Présentation...

Plus en détail

1. PRESENTATION DU PROJET

1. PRESENTATION DU PROJET Bac STI2D Formation des enseignants Jean-François LIEBAUT Denis PENARD SIN 63 : Prototypage d un traitement de l information analogique et numérique (PSoC) 1. PRESENTATION DU PROJET Les systèmes d éclairage

Plus en détail

SYSTEME DE PALPAGE A TRANSMISSION RADIO ETUDE DU RECEPTEUR (MI16) DOSSIER DE PRESENTATION. Contenu du dossier :

SYSTEME DE PALPAGE A TRANSMISSION RADIO ETUDE DU RECEPTEUR (MI16) DOSSIER DE PRESENTATION. Contenu du dossier : SYSTEME DE PALPAGE A TRANSMISSION RADIO ETUDE DU RECEPTEUR (MI16) DOSSIER DE PRESENTATION Contenu du dossier : 1. PRESENTATION DU SYSTEME DE PALPAGE A TRANSMISSION RADIO....1 1.1. DESCRIPTION DU FABRICANT....1

Plus en détail

Introduction au langage C

Introduction au langage C Introduction au langage C Cours 1: Opérations de base et premier programme Alexis Lechervy Alexis Lechervy (UNICAEN) Introduction au langage C 1 / 23 Les premiers pas Sommaire 1 Les premiers pas 2 Les

Plus en détail

How To? Sécurité des réseaux sans fils

How To? Sécurité des réseaux sans fils Retrouvez les meilleurs prix informatiques How To? Sécurité des réseaux sans fils Notre magasin Rue Albert 1er, 7 B-6810 Pin - Chiny Route Arlon - Florenville (/fax: 061/32.00.15 FORMATIONS Le MAGASIN

Plus en détail

Cisco Certified Network Associate Version 4

Cisco Certified Network Associate Version 4 Cisco Certified Network Associate Version 4 Protocoles et concepts de routage Chapitre 2 Le résultat de la commande Router# show interfaces serial 0/1 est le suivant : Serial0/1 is up, line protocol is

Plus en détail

Enregistreur sans papier. Interface LON. B 95.5010.2.1 Description des interfaces 10.99/00370156

Enregistreur sans papier. Interface LON. B 95.5010.2.1 Description des interfaces 10.99/00370156 Enregistreur sans papier Interface LON B 95.5010.2.1 Description des interfaces 10.99/00370156 Sommaire 1 Introduction 3 1.1 Préambule... 3 1.2 Conventions typographiques... 4 1.2.1 Avertissement... 4

Plus en détail

Catalogue Produits. Version 1

Catalogue Produits. Version 1 Catalogue Produits Version 1 A propos d Actisense INTRODUCTION Interfaces et Sondes intelligentes Actisense. Des solutions plus intelligentes qui ont un sens. Actisense est synonyme d excellence dans le

Plus en détail

Bureau d étude Electronique Automobile

Bureau d étude Electronique Automobile Bureau d étude Electronique Automobile http://www.alexandre-boyer.fr Alexandre Boyer Patrick Tounsi Octobre 2013 Contenu I - Contexte...3 II - Objectifs du bureau d étude...5 III - Enoncé du BE Cahier

Plus en détail

Mesure de performances. [Architecture des ordinateurs, Hennessy & Patterson, 1996]

Mesure de performances. [Architecture des ordinateurs, Hennessy & Patterson, 1996] Mesure de performances [Architecture des ordinateurs, Hennessy & Patterson, 1996] Croissance des performances des microprocesseurs Avant le milieu des années 80, le gain dépendait de la technologie. Après,

Plus en détail

Etude du Système de Gestion de Flotte de Véhicules par réseaux GPS et GSM --- GenLoc 31e ---

Etude du Système de Gestion de Flotte de Véhicules par réseaux GPS et GSM --- GenLoc 31e --- Etude du Système de Gestion de Flotte de Véhicules par réseaux GPS et GSM --- GenLoc 31e --- S.A. Erco & Gener Z.I. S.Lambert des Levées 49412 SAUMUR Cedex. - 1 - A- Liste des documents techniques fabricant

Plus en détail

Hubert & Bruno Lundi 12 octobre 2009 SAINT-QUENTIN (02)

Hubert & Bruno Lundi 12 octobre 2009 SAINT-QUENTIN (02) Hubert & Bruno Lundi 12 octobre 2009 SAINT-QUENTIN (02) Ne rien livrer au hasard, c est économiser du travail Pont Sainte Maxence(O C est quoi USB? Comment ça marche? Les standards? La technique en détail

Plus en détail

Cahier des charges. driver WIFI pour chipset Ralink RT2571W. sur hardware ARM7

Cahier des charges. driver WIFI pour chipset Ralink RT2571W. sur hardware ARM7 Cahier des charges driver WIFI pour chipset Ralink RT2571W sur hardware ARM7 RevA 13/03/2006 Création du document Sylvain Huet RevB 16/03/2006 Fusion des fonctions ARP et IP. SH Modification des milestones

Plus en détail

PIC EVAL Dev Board PIC18F97J60

PIC EVAL Dev Board PIC18F97J60 PIC EVAL Dev Board PIC18F97J60 2 TP1 : Prise en main de l environnement de programmation pour la carte PIC EVAL-ANFA Pour répondre aux questions et justifier vos réponses, vous pouvez faire des copies

Plus en détail

Tout savoir sur le matériel informatique

Tout savoir sur le matériel informatique Tout savoir sur le matériel informatique Thème de l exposé : Les Processeurs Date : 05 Novembre 2010 Orateurs : Hugo VIAL-JAIME Jérémy RAMBAUD Sommaire : 1. Introduction... 3 2. Historique... 4 3. Relation

Plus en détail

Catalogue Produits. 2011 v1

Catalogue Produits. 2011 v1 Catalogue Produits 2011 v1 A propos d Actisense INTRODUCTION Interfaces et Sondes intelligentes Actisense. Depuis plusieurs années Actisense est synonyme d excellence dans le domaine des sondes intelligentes,

Plus en détail

et dépannage de PC Configuration Sophie Lange Guide de formation avec exercices pratiques Préparation à la certification A+

et dépannage de PC Configuration Sophie Lange Guide de formation avec exercices pratiques Préparation à la certification A+ Guide de formation avec exercices pratiques Configuration et dépannage de PC Préparation à la certification A+ Sophie Lange Troisième édition : couvre Windows 2000, Windows XP et Windows Vista Les Guides

Plus en détail

La norme Midi et JavaSound

La norme Midi et JavaSound La norme Midi et JavaSound V 1.0-14.2.2006 (update Fev. 07) Jacques Ferber LIRMM - Université Montpellier II 161 rue Ada 34292 Montpellier Cedex 5 Email: ferber@lirmm.fr Home page: www.lirmm.fr/~ferber

Plus en détail

Date : 08/02/12 SISR1 tp.topologie.reseau.wan Durée : 2 h

Date : 08/02/12 SISR1 tp.topologie.reseau.wan Durée : 2 h Enoncé : Vous devez configurer un réseau wan à partir de deux Pcs en utilisant Packet Tracer. L'un est situé à Lyon et l'autre Pc est installé à Paris. Les deux Pcs sont reliés à partir deux routeurs qu'il

Plus en détail

Durée estimée :1 journée Date de la réalisation : 2011. Description Fournisseur Référence Nombre PU HT LM35CZ, LM35AZ LM35DZ

Durée estimée :1 journée Date de la réalisation : 2011. Description Fournisseur Référence Nombre PU HT LM35CZ, LM35AZ LM35DZ 001 Titre : Mesure de température interfacée par carte Arduino Type de réalisation : montage électronique, de surveillance de température Concepteur : C. Rouviere Coordonnées : Laboratoire lbv villefranche/mer

Plus en détail

Enregistreur de Température pour PC DALLAS 1820

Enregistreur de Température pour PC DALLAS 1820 Conrad sur INTERNET www.conrad.fr * Capteur à visser, M10, 5 m de câble DS 1820-HD-5M Capteur à visser, M10, longueur spéciale DS 1820-HD-SL Capteur à visser, G1/8, 2m de câble DS 1820-G18-2M Capteur à

Plus en détail

56K Message Modem Manuel d'utilisation et de référence

56K Message Modem Manuel d'utilisation et de référence 3Com U.S. Robotics 56K Message Modem Manuel d'utilisation et de référence Ce manuel explique comment installer et utiliser le modem suivant : Modem externe 3Com U.S. Robotics 56K Message Modem REMARQUE

Plus en détail