module électronique 4 projet VHDL S4 Horloge Auteurs : Marine BOILEAU Alexis BOLLIA Pierre MOREAU Professeur : Jean-Louis RIQUIER

Dimension: px
Commencer à balayer dès la page:

Download "module électronique 4 projet VHDL S4 Horloge Auteurs : Marine BOILEAU Alexis BOLLIA Pierre MOREAU Professeur : Jean-Louis RIQUIER"

Transcription

1 module électronique 4 projet VHDL S4 Horloge Professeur : Jean-Louis RIQUIER Auteurs : Marine BOILEAU Alexis BOLLIA Pierre MOREAU 10 Mars 2016

2 Table des matières 0 Introduction 3 1 Présentation Le VHDL Les cartes FPGA : Basys 2 de Digilent Conception Étude fonctionnelle Étude du circuit par blocs Étude détaillée des fonctions Synthèse Simulation Conclusion 11 1

3 Table des images 1.1 Carte Basys 2 de Digilent Schéma fonctionnel Simulation du diviseur de fréquences Simulation du compteur de secondes Simulation du compteur de minutes Simulation du compteur d heures

4 Partie 0 Introduction Le projet Le projet consistait à implémenter une horloge sur l afficheur digital d une carte Basys 2. Le projet est réalisés en par les étudiants ingénieurs en deuxième année du cycle préparatoire à l ECE (École Centrale d Électronique) de Paris, dans le cadre du cours d électronique. Il a pour but d initier les étudiants à la conception VHDL, en mettant en pratique les connaissances théoriques vues en cours. L équipe Notre équipe était constituée de 3 membres Marine Boileau Alexis Bollia Pierre Moreau Cela nous a permis d avancer rapidement et efficacement tout en ayant une bonne répartition des tâches. 3

5 Partie 1 Présentation Commençons d abord par vous présenter les différentes technologies utilisées dans ce projet. 1.1 Le VHDL Le VHDL (Very-high speed integrated circuits Hardware Description Language) est un langage de description développé dans les années 80 aux Etats-Unis. Une nouvelle norme fu établi en 1993 pour améliorer la portabilité du langage, c est cette nouvelle norme qui est devenu un standard en matière d outils de description de fonctions logique. On utilise principalement le VHDL pour : Pour concevoir des ASIC. Programmer des composants du type PLD, CPLD et FPGA (utiliser pour ce projet). Concevoir des modèles de simulation numérique ou des bancs de test. 1.2 Les cartes FPGA : Basys 2 de Digilent Les cartes FPGA (ou field-programmable gate array : réseau de portes programmables) sont des circuits intégrés logique qui peuvent être reprogrammé apès leur fabrication. Ils sont composés de nombreuses cellules logiques élémentaires qui sont librement assemblables. Ces cartes comportent de la mémoire d usage général et des bloucles à verrouillage de phase pour la génération d horloge c est ce qui nous intéresse dans ce projet. 4

6 Projet électronique VHDL S Boileau & Bollia & Moreau Image 1.1 Carte Basys 2 de Digilent PARTIE 1. PRÉSENTATION 5

7 Partie 2 Conception 2.1 Étude fonctionnelle Étude du circuit par blocs Nous pouvons décomposer la fonction principale en différents blocs. Image 2.1 Schéma fonctionnel Les blocs surlignés en bleus sont des fonctions séquentielles. Sur chaque signal, le nombre de bits portés est affiché en bleu. Étude détaillée des fonctions FS1 : Compteur (séquentielle) Entrées : horloge clk Sorties : compteur cpt,signal des secondes sig_s, signal des demi-secondes sig_demi 6

8 Projet électronique VHDL S Boileau & Bollia & Moreau Ce bloc divise la fréquence de l horloge en incrémentant la variable cpt jusqu à Il renvoie un signal sur sig_s toutes les secondes. FS2 : Compteur des secondes Entrées : HSeconde. Sorties : Usec, Dsec, Minute. (séquentielle) Ce bloc permet de gérer le compteur des secondes et rajouter une 1 aux minutes lorsque les secondes atteignent 59. FS3 : Compteur des minutes (séquentielle) Entrées : HMinute. Sorties : Umin, Dmin, Heure. Ce bloc permet de gérer le compteur des minutes et rajouter une 1 aux heures lorsque les minutes atteignent 59. FS4 : Compteur des heures (séquentielle) Entrées : HHeure. Sorties : Uheu, Dheu. Ce bloc permet de gérer le compteur des heures. FS5 : Multiplexeur (Combinatoire) Entrées : Usec,Dsec,Umin,Dmin,Uheu,Dheu. mp, Mode. Sorties : HEX. Ce bloc permet de convertir l information numérique des compteurs en sortie 7 bit pouvant etre lu par l afficheur 7-segments. Il est en relaxion avec le demultiplexeur qui gère l affichage de l horloge FS6 : DMUXSEC (Combinatoire) Entrées : mp. Sorties : mplex pour selectionner l afficheur. Ce bloc permet au programme de savoir sur quel afficheur 7-segments il doit afficher le nombre donné par le multiplexeur. FS7 : AVSEC (combinatoire) Entrées : COMPT(22), horloge, set_sec. Sorties : HSeconde. PARTIE 2. CONCEPTION 7

9 Projet électronique VHDL S Boileau & Bollia & Moreau Ce bloc permet la remise à l heure des secondes. C est à dire qu il modifie la valeur de la clock afin d accélérer le défillement des secondes lorsque le bouton associé est activé (bouton des secondes : set_sec). FS9 : AVMI (combinatoire) Entrées : COMPT(22), Minutes, set_min. Sorties : Hminute. Ce bloc permet la remise à l heure des minutes. C est à dire qu il modifie la valeur de la clock afin d accélérer le défillement des minutes lorsque le bouton associé est activé (bouton des minutes : set_min). FS10 : AVHEU (Combinatoire) Entrées : COMPT(22), Heure, set_heu. Sorties : HHeure. Ce bloc permet la remise à l heure des heures. C est à dire qu il modifie la valeur de la clock afin d accélérer le défillement des heures lorsque le bouton associé est activé (bouton des minutes : set_heu). Alarm : Alarme (séquentielle) Entrées : horloge clk, minutes um et dm, heures uh et dh, et bouton d activation btn_toggle_alarm Sorties : buzzer et led clignotante light Ce bloc enregistre les valeurs des heures et minutes si le bouton de réglage est appuyé, et active le buzzer et la led si l heure actuelle est la même que l heure enregistrée. DOT : afficheur clignotant des secondes (combinatoire) Entrées : afficheur actuel mp, signal des demi secondes sig_demi Sorties : point sur l afficheur 7seg : blink Allume et éteint le point au milieu de l afficheur à chaque seconde. PSW : mot de passe (combinatoire) Entrées : secondes usec, boutons btn_psw Sorties : etape actuelle du déchiffrage etape_psw Compare les boutons activés avec le signal des secondes pour déterminer l étape actuelle du déchiffrage du mot de passe. PARTIE 2. CONCEPTION 8

10 Partie 3 Synthèse Suite à l analyse des fonctions et la construction du schéma fonctionnel, nous avons pus mieux comprendre ce qui nous étais demandé dans ce projet. Ainsi le projet ce découpe en plusieurs groupes : Les fonctions FS1 à FS4 : il s agit des compteurs pour récuperer les valeurs de l horloge que ce soit les secondes, les minutes ou les heures. Les fonctions FS5 et FS6 (le multiplexeur et le démultiplexeur) permettent le bon affichage sur la carte. En effet le multiplexeur convertir les valeurs numériques des compteurs en sortie 7 bits pour l afficheur 7-segments. le demultiplexeur quand à lui indique sur quel afficheur la valeur doit s afficher. Les fonctions FS7,FS9, et FS10 permettent de calculer le bon nombre. Il s agit de fonction combinatoire. En effet lorsque le nombre des secondes passe à 59 grâce au compteur il doit se réinitialiser et incrémenter de 1 le nombre des minutes. De même avec les minutes avec les heures. Nous avons cependant eu des difficultés à comprendre le code n étant pas habitué à cette logique de language. 3.1 Simulation Grâce au logiciel Xilinx, nous pouvons simuler les fonctions séquentielles. Pour accélérer la simulation, nous remplaçons les signaux d entrées par l horloge interne clk. FS1 : diviseur de fréquences Cette fonction additionne les coups d horloge sur 26 bits. On remarque que les 14 et 15 èmes bits de ce compteur agissent comme un compteur sur 2 bits, avec une fréquence entre l horloge et la seconde. 9

11 Projet électronique VHDL S Boileau & Bollia & Moreau Image 3.1 Simulation du diviseur de fréquences FS2 : Compteur de secondes Pour compter les secondes, il faut 2 compteurs, l un pour les unités sur 4 bits, et l autre pour les dizaines sur 3 bits. Une fois arrivé à 60 minutes, cette fonction envoie un signal pour les minutes. Image 3.2 Simulation du compteur de secondes FS3 : Compteur de minutes Pour compter les minutes, il faut 2 compteurs, l un pour les unités sur 4 bits, et l autre pour les dizaines sur 3 bits. Une fois arrivé à 60 minutes, cette fonction envoie un signal pour les heures. Image 3.3 Simulation du compteur de minutes FS4 : Compteur d heures Pour compter les heures, il faut 2 compteurs, l un pour les unités sur 4 bits, et l autre pour les dizaines sur 2 bits. Image 3.4 Simulation du compteur d heures PARTIE 3. SYNTHÈSE 10

12 Partie 4 Conclusion Ce projet d électronique nous as permis de nous familiariser avec de nouveaux composants, très utiles et répandus. De plus, cela nous a permis de concrétiser une multitude de cours jusqu à présent. Nous avons dû effectuer de nombreuses recherches, et dû réaliser de nombreux essais (infructueux) de simulation avant de pouvoir comprendre tous les retors de ce montage. De plus, Alexis et Pierre ayant des connaissances en L A TEX, nous en avons profité pour coder notre rapport, ce qui facilite grandement la mise en page et l édition à plusieurs. Pour Marine, c était un premier contact avec le L A TEX, et elle prévoit développer ses connaissances pour des projets futurs. 11

ET 24 : Modèle de comportement d un système Boucles de programmation avec Labview.

ET 24 : Modèle de comportement d un système Boucles de programmation avec Labview. ET 24 : Modèle de comportement d un système Boucles de programmation avec Labview. Sciences et Technologies de l Industrie et du Développement Durable Formation des enseignants parcours : ET24 Modèle de

Plus en détail

IFT1215 Introduction aux systèmes informatiques

IFT1215 Introduction aux systèmes informatiques Introduction aux circuits logiques de base IFT25 Architecture en couches Niveau 5 Niveau 4 Niveau 3 Niveau 2 Niveau Niveau Couche des langages d application Traduction (compilateur) Couche du langage d

Plus en détail

Quoi de neuf en LabVIEW FPGA 2010?

Quoi de neuf en LabVIEW FPGA 2010? Quoi de neuf en LabVIEW FPGA 2010? Yannick DEGLA Ingénieur d Application Fonctionnalités de LabVIEW FPGA 2010 Nœud d intégration d IP - Importer directement des fichiers.xco de Xilinx ou vos propres VHDL

Plus en détail

QUESTION 1 {2 points}

QUESTION 1 {2 points} ELE4301 Systèmes logiques II Page 1 de 8 QUESTION 1 {2 points} En se servant de paramètres électriques donnés dans le Tableau 1 ci-dessous, on désire déterminer la fréquence d opération du compteur présenté

Plus en détail

ASR1 TD7 : Un microprocesseur RISC 16 bits

ASR1 TD7 : Un microprocesseur RISC 16 bits {Â Ö Ñ º ØÖ Ý,È ØÖ ºÄÓ Ù,Æ ÓÐ ºÎ ÝÖ Ø¹ ÖÚ ÐÐÓÒ} Ò ¹ÐÝÓÒº Ö ØØÔ»»Ô Ö Óº Ò ¹ÐÝÓÒº Ö» Ö Ñ º ØÖ Ý»¼ Ö½» ASR1 TD7 : Un microprocesseur RISC 16 bits 13, 20 et 27 novembre 2006 Présentation générale On choisit

Plus en détail

Manipulations du laboratoire

Manipulations du laboratoire Manipulations du laboratoire 1 Matériel Les manipulations de ce laboratoire sont réalisées sur une carte électronique comprenant un compteur 4-bit asynchrone (74LS93) avec possibilité de déclenchement

Plus en détail

Conception et Intégration de Systèmes Critiques

Conception et Intégration de Systèmes Critiques Conception et Intégration de Systèmes Critiques 15 12 18 Non 50 et S initier aux méthodes le développement de projet (plan de développement, intégration, gestion de configuration, agilité) Criticité temporelle

Plus en détail

SYSTEME DE PALPAGE A TRANSMISSION RADIO ETUDE DU RECEPTEUR (MI16) DOSSIER DE PRESENTATION. Contenu du dossier :

SYSTEME DE PALPAGE A TRANSMISSION RADIO ETUDE DU RECEPTEUR (MI16) DOSSIER DE PRESENTATION. Contenu du dossier : SYSTEME DE PALPAGE A TRANSMISSION RADIO ETUDE DU RECEPTEUR (MI16) DOSSIER DE PRESENTATION Contenu du dossier : 1. PRESENTATION DU SYSTEME DE PALPAGE A TRANSMISSION RADIO....1 1.1. DESCRIPTION DU FABRICANT....1

Plus en détail

Conception Systèmes numériques VHDL et synthèse automatique des circuits

Conception Systèmes numériques VHDL et synthèse automatique des circuits Année 2008-2009 Conception Systèmes numériques VHDL et synthèse automatique des circuits Travaux pratiques Pentium4 Présentation du simulateur VHDL sous environnement Cadence Présentation de l outil Synopsys

Plus en détail

SIN-FPGA DESCRIPTION PAR SCHEMA

SIN-FPGA DESCRIPTION PAR SCHEMA SIN-FPGA DESCRIPTION PAR SCHEMA Documents ressources: http://www.altera.com/literature/lit-index.html Introduction to Quartus II : intro_to_quartus2.pdf Documentation QUARTUS II : quartusii_handbook.pdf

Plus en détail

TV / DVD à écran rabattable pour cuisine VSKTV102 Mk II

TV / DVD à écran rabattable pour cuisine VSKTV102 Mk II TV / DVD à écran rabattable pour cuisine VSKTV102 Mk II Manuel de l Utilisateur Accessoires Les accessoires suivant devraient être dans la boîte : TV Bloc d alimentation Télécommande Schéma de montage

Plus en détail

ELP 304 : Électronique Numérique. Cours 1 Introduction

ELP 304 : Électronique Numérique. Cours 1 Introduction ELP 304 : Électronique Numérique Cours 1 Introduction Catherine Douillard Dépt Électronique Les systèmes numériques : généralités (I) En électronique numérique, le codage des informations utilise deux

Plus en détail

IT GR ES PT. Notice d utilisation de la station d accueil. Manuale d uso Docking Station. Εγχειρίδιο χρήσης Docking Station

IT GR ES PT. Notice d utilisation de la station d accueil. Manuale d uso Docking Station. Εγχειρίδιο χρήσης Docking Station Ref. 477055 FR IT GR ES PT Notice d utilisation de la station d accueil Manuale d uso Docking Station Εγχειρίδιο χρήσης Docking Station Manual de instrucciones para dock station Manual de instruções para

Plus en détail

Éléments d'architecture des ordinateurs

Éléments d'architecture des ordinateurs Chapitre 1 Éléments d'architecture des ordinateurs Machines take me by surprise with great frequency. Alan Turing 1.1 Le Hardware Avant d'attaquer la programmation, il est bon d'avoir quelques connaissances

Plus en détail

Conception Systèmes numériques VHDL et synthèse automatique des circuits

Conception Systèmes numériques VHDL et synthèse automatique des circuits Année 2011-2012 Conception Systèmes numériques VHDL et synthèse automatique des circuits Travaux pratiques WIDEMACV1 LAAS-CNRS 2011 Présentation du simulateur VHDL sous environnement Cadence Présentation

Plus en détail

MANUEL D INSTRUCTION

MANUEL D INSTRUCTION MANUEL D INSTRUCTION ---------- Régulateur de Charge Solaire pour deux batteries, Pour Caravanes, Camping-Cars & Bateaux Courant (12V or 12/24V automatique) NOTES: Utilisable seulement avec des panneaux

Plus en détail

2015 // 2016. des formations. programme. Retrouvez toutes ces informations sur enseirb-matmeca.bordeaux-inp.fr

2015 // 2016. des formations. programme. Retrouvez toutes ces informations sur enseirb-matmeca.bordeaux-inp.fr programme des formations Filière Électronique...2 Filière Informatique...3 Filière Mathématique et Mécanique...4 Filière Télécommunications...5 Filière Réseaux et Systèmes d Information...6 Filière Systèmes

Plus en détail

Série D65/D75/D72 Afficheurs digitaux modulaires

Série D65/D75/D72 Afficheurs digitaux modulaires Série D65/D75/D72 Afficheurs digitaux modulaires Afficheurs digitaux modulaires Afficheurs digitaux individuels La série D65/D75/D72 représente une vaste gamme de modules d affichage numériques, hexadécimaux

Plus en détail

UP 588/13 5WG1 588-2AB13

UP 588/13 5WG1 588-2AB13 Informations Technique Description du produit et de ses fonctionnalités Dans le menu «Réglage» vous avez le choix entre 4 styles d affichage. Les accessoires suivants sont nécessaires: è è è 5WG1 588 8AB14

Plus en détail

AP1.1 : Montages électroniques élémentaires. Électricité et électronique

AP1.1 : Montages électroniques élémentaires. Électricité et électronique STI2D Option SIN Terminale AP1.1 : Montages électroniques élémentaires Électricité et électronique Durée prévue : 3h. Problématique : connaître les composants élémentaires de l'électronique Compétences

Plus en détail

Le langage VHDL. Eduardo Sanchez EPFL

Le langage VHDL. Eduardo Sanchez EPFL Le langage VHDL Eduardo Sanchez EPFL Livres conseillés: John F. Wakerly Digital design (4th edition) Prentice Hall, 2005 Peter J. Ashenden The designer's guide to VHDL (3rd edition) Morgan Kaufmann, 2008

Plus en détail

COMMANDER A DISTANCE LE ROBOT-PONG ETUDE DE LA TELECOMMANDE (2 nde PARTIE)

COMMANDER A DISTANCE LE ROBOT-PONG ETUDE DE LA TELECOMMANDE (2 nde PARTIE) SIN STI2D - Système d'information et Numérique TD TP Cours Synthèse Devoir Evaluation Projet Document ressource COMMANDER A DISTANCE LE ROBOT-PONG ETUDE DE LA TELECOMMANDE (2 nde PARTIE) 1 GESTION DES

Plus en détail

ESPACE MULTIMEDIA DU CANTON DE ROCHESERVIERE

ESPACE MULTIMEDIA DU CANTON DE ROCHESERVIERE ESPACE MULTIMEDIA DU CANTON DE ROCHESERVIERE Atelier «pour approfondir» Montage vidéo avec Windows Live Movie Maker 1 Présentation de Windows Live Movie Maker Windows Live Movie Maker est le logiciel de

Plus en détail

Conception de circuits numériques et architecture des ordinateurs

Conception de circuits numériques et architecture des ordinateurs Conception de circuits numériques et architecture des ordinateurs Frédéric Pétrot et Sébastien Viardot Année universitaire 2011-2012 Structure du cours C1 C2 C3 C4 C5 C6 C7 C8 C9 C10 C11 C12 Codage des

Plus en détail

Programme. 048884-EcranTactile-01 MANUEL DE MISE EN ŒUVRE

Programme. 048884-EcranTactile-01 MANUEL DE MISE EN ŒUVRE Programme d application 048884-EcranTactile-01 MANUEL DE MISE EN ŒUVRE Sommaire 4 5 7 10 11 13 14 18 19 21 22 Description des fonctionnalités du produit Généralité concernant le fonctionnement Utilisation

Plus en détail

Projet # 3 Serrure à deux clés

Projet # 3 Serrure à deux clés Département d électronique industrielle Projet # 3 Serrure à deux clés Semaines 8 et 9, 10 Session 1 Circuits logiques 243-206-RA Automne 2010 Tables des matières 1 OBJECTIFS DE L ACTIVITÉ... 3 1.1 COMPÉTENCES

Plus en détail

DOCUMENT PROTEGE PAR UN DROIT DE COPIE. CPLD ou FPGA Critères de choix. page 1

DOCUMENT PROTEGE PAR UN DROIT DE COPIE. CPLD ou FPGA Critères de choix. page 1 Une des caractéristiques du domaine des circuits programmables est d être résolument moderne, tirant parti des évolutions concernant les procédés technologiques, la propriété intellectuelle(ip), l Internet,

Plus en détail

CYLINDRE ET BÉQUILLE ÉLECTRONIQUES À BADGE Aperio E100 & C100

CYLINDRE ET BÉQUILLE ÉLECTRONIQUES À BADGE Aperio E100 & C100 SYSTÈMES D IDENTIFICATION CYLINDRE ET BÉQUILLE ÉLECTRONIQUES À BADGE Aperio E100 & C100 CONTRÔLE D ACCÈS SANS FIL RFID Contrôle des portes en temps réel. Fonctionnalités Aperio : le chaînon manquant grâce

Plus en détail

Mentions légales (non traduites)... 3. 1. Introduction... 4. 2. Légendes... 4. 3. Schémas de raccordement... 5. 4. Configuration de la S16...

Mentions légales (non traduites)... 3. 1. Introduction... 4. 2. Légendes... 4. 3. Schémas de raccordement... 5. 4. Configuration de la S16... 1 2 Table des matières Consignes de sécurité importantes (non traduites)... 3 Mentions légales (non traduites)... 3 Garantie limitée (non traduite)... 3 1. Introduction... 4 2. Légendes... 4 3. Schémas

Plus en détail

T. BLOTIN Lycée Paul-Eluard 93206 SAINT-DENIS

T. BLOTIN Lycée Paul-Eluard 93206 SAINT-DENIS T. BLOTIN Lycée Paul-Eluard 93206 SAINT-DENIS SOMMAIRE I. Le VHDL pour qui, pourquoi, quand, comment? A. Le VHDL!...... 1 B. Pourquoi un langage de description?...... 1 C. Les limites actuelles...... 2

Plus en détail

Hiérarchie matériel dans le monde informatique. Architecture d ordinateur : introduction. Hiérarchie matériel dans le monde informatique

Hiérarchie matériel dans le monde informatique. Architecture d ordinateur : introduction. Hiérarchie matériel dans le monde informatique Architecture d ordinateur : introduction Dimitri Galayko Introduction à l informatique, cours 1 partie 2 Septembre 2014 Association d interrupteurs: fonctions arithmétiques élémentaires Elément «NON» Elément

Plus en détail

Guide de programmation FLEXIVOZ PABX OD308

Guide de programmation FLEXIVOZ PABX OD308 Guide de FLEXIVOZ PABX OD308 1 SOMMAIRE Introduction 3 Installation 4 Programmation du système 5 IMPORTANT Lignes externes 6 Réglage date et heure par l horloge interne 6 Appels entrants : Affectation

Plus en détail

CENTRAL TELEPHONIQUE ANALOGIQUE 3 LIGNES 12 POSTES autocommutateur téléphone SELECTEUR FAX TELEPHONE. Ref 3L12PF = VIP312

CENTRAL TELEPHONIQUE ANALOGIQUE 3 LIGNES 12 POSTES autocommutateur téléphone SELECTEUR FAX TELEPHONE. Ref 3L12PF = VIP312 CENTRAL TELEPHONIQUE ANALOGIQUE 3 LIGNES 12 POSTES autocommutateur téléphone SELECTEUR FAX TELEPHONE Sommaire Caractéristiques de l appareil Installation A lire attentivement avant installation Allumage

Plus en détail

Electron MECATRONIQUE. S.r.l. Educational Equipment Design, Production & Trading. Member of I.D.E.A. International Didactic Equipment Association

Electron MECATRONIQUE. S.r.l. Educational Equipment Design, Production & Trading. Member of I.D.E.A. International Didactic Equipment Association MECATRONIQUE Catalogue Synthétique Rev 01/2007 Page 141 Pour les détails: www.electron.it CAI & SYSTEME DE SIMULATION DE PANNES ASSISTE PAR PC B50 SYSTEME DIDACTIQUE MICROPROCESSEURS & HARDWARE DU PC B51

Plus en détail

Électromécanique de systèmes automatisés

Électromécanique de systèmes automatisés Électromécanique de systèmes automatisés Formation professionnelle www.cfplevis.qc.ca Élève d un jour Inscription en ligne www.formationprofessionnelle.org Électromécanique de systèmes automatisés (5281)

Plus en détail

nom : Collège Ste Clotilde

nom : Collège Ste Clotilde UNE CONFIGURATION INFORMATIQUE Objectif : Identifier les éléments principaux d une configuration L ordinateur enregistre des données qu il traite pour produire un résultat Sifflements 20 Notice 12 attache

Plus en détail

Les critères de segmentation Critères Variables retenues Description Exemple Pays, région, ville, Chauffage,

Les critères de segmentation Critères Variables retenues Description Exemple Pays, région, ville, Chauffage, SYNTHÈSE DU CHAPITRE 11 : LA SEGMENTATION DE LA DEMANDE. La segmentation. La segmentation de la demande consiste à définir des groupes de clients (des segments de clientèle) ayant des comportements homogènes

Plus en détail

1. PRESENTATION DU PROJET

1. PRESENTATION DU PROJET Bac STI2D Formation des enseignants Jean-François LIEBAUT Denis PENARD SIN 63 : Prototypage d un traitement de l information analogique et numérique (PSoC) 1. PRESENTATION DU PROJET Les systèmes d éclairage

Plus en détail

ARDUINO DOSSIER RESSOURCE POUR LA CLASSE

ARDUINO DOSSIER RESSOURCE POUR LA CLASSE ARDUINO DOSSIER RESSOURCE POUR LA CLASSE Sommaire 1. Présentation 2. Exemple d apprentissage 3. Lexique de termes anglais 4. Reconnaître les composants 5. Rendre Arduino autonome 6. Les signaux d entrée

Plus en détail

Le multiplexage. Sommaire

Le multiplexage. Sommaire Sommaire Table des matières 1- GENERALITES... 2 1-1 Introduction... 2 1-2 Multiplexage... 4 1-3 Transmission numérique... 5 2- LA NUMERATION HEXADECIMALE Base 16... 8 3- ARCHITECTURE ET PROTOCOLE DES RESEAUX...

Plus en détail

Compteurs d énergie iem3000

Compteurs d énergie iem3000 Les compteurs d énergie PowerLogic série iem3000 offrent une gamme compétitive de compteurs montés sur rail DIN, idéale pour les applications de refacturation et d affectation des coûts. Associée à un

Plus en détail

Chapitre V : La gestion de la mémoire. Hiérarchie de mémoires Objectifs Méthodes d'allocation Simulation de mémoire virtuelle Le mapping

Chapitre V : La gestion de la mémoire. Hiérarchie de mémoires Objectifs Méthodes d'allocation Simulation de mémoire virtuelle Le mapping Chapitre V : La gestion de la mémoire Hiérarchie de mémoires Objectifs Méthodes d'allocation Simulation de mémoire virtuelle Le mapping Introduction Plusieurs dizaines de processus doivent se partager

Plus en détail

Génie Industriel et Maintenance

Génie Industriel et Maintenance Génie Industriel et Maintenance Pour qu aucun de ces systèmes ne tombe en panne. Plan de la visite 1 2 3 6 4 5 Guide visite du département Génie Industriel et Maintenance 1 Salles Informatiques Utilisation

Plus en détail

COACH-II Manuel d utilisation

COACH-II Manuel d utilisation COACH-II Manuel d utilisation MA-COACH-II_FR Page 1 on 25 Rev: 29/03/2011 1. INTRODUCTION...3 2. VUE GENERALE...4 3. DIMENSIONS MECANIQUES...5 4. CARACTERISTIQUES TECHNIQUES...6 4.1. Générales...6 4.1.1.

Plus en détail

L AUTOMATISME LE SIGNAL

L AUTOMATISME LE SIGNAL L AUTOMATISME LE SIGNAL Page 1 sur 7 Sommaire : 1- Champ de l automatisme définitions 2- Correspondance entre phénomènes physiques et signaux a. Capteur b. Exemple de capteur TOR c. Exemple de capteur

Plus en détail

Chapitre 1 : Introduction aux méthodologies de conception et de vérification pour SE

Chapitre 1 : Introduction aux méthodologies de conception et de vérification pour SE Chapitre 1 : Introduction aux méthodologies de conception et de vérification pour SE 1. Rappel de ce qu est un SE 2. Conception au niveau système (ESL) Méthodologie de conception (codesign logiciel/matériel)

Plus en détail

Formation. Mastère Spécialisé en Sécurité des Systèmes Intégrés & Applications. Post-master s degree in Security of Integrated Systems & Applications

Formation. Mastère Spécialisé en Sécurité des Systèmes Intégrés & Applications. Post-master s degree in Security of Integrated Systems & Applications Formation Mastère Spécialisé en Sécurité des Systèmes Intégrés & Applications Post-master s degree in Security of Integrated Systems & Applications Développer ses talents Ce mastère prépare à un emploi

Plus en détail

Projet de synthèse de l'électronique analogique : réalisation d'une balance à jauges de contrainte

Projet de synthèse de l'électronique analogique : réalisation d'une balance à jauges de contrainte J3eA, Journal sur l enseignement des sciences et technologies de l information et des systèmes, Volume 4, HorsSérie 2, 20 (2005) DOI : http://dx.doi.org/10.1051/bibj3ea:2005720 EDP Sciences, 2005 Projet

Plus en détail

Mise en route de Cobian Backup

Mise en route de Cobian Backup 1 Mise en route de Cobian Backup L installation est un peu longue mais vous n aurez à la faire qu une seule et unique fois. Après tout se déroulera sans aucune intervention de votre part. 1. Installation

Plus en détail

Station météo sans fil avec température, Alerte Gel et horloge radio pilotée Modèle: BAR386

Station météo sans fil avec température, Alerte Gel et horloge radio pilotée Modèle: BAR386 Station météo sans fil avec température, Alerte Gel et horloge radio pilotée Modèle: BAR386 MANUEL DE L UTILISATEUR TABLE DES MATIÈRES Introduction... 2 Vue d Ensemble de l Horloge... 2 Face Avant... 2

Plus en détail

Réunion GATE Équipement E3

Réunion GATE Équipement E3 Réunion GATE Équipement E3 E3 : Réalisation d'un système de détection Cherenkov autonome et modulaire Assemblage de plusieurs modules développés dans le cadre du programme ANR NECTar Julien Bolmont pour

Plus en détail

Slim Multi-days Weather Station Model: WMH800

Slim Multi-days Weather Station Model: WMH800 Slim Multi-days Weather Station Model: WMH800 User Manual 2010 Oregon Scientific. All rights reserved. 300102613-00001-10 Station météo à 4 jours format CD Modèle : WMH800 MANUEL DE L UTILISATEUR TABLE

Plus en détail

EIP 2012 Projet Livepad. Documentation technique 1.5

EIP 2012 Projet Livepad. Documentation technique 1.5 EIP 2012 Projet Livepad 1.5 Marc Mathieu Benjamin Netter David Ngo Pierre Pasteau Denis Togbe 12-01-2012 Informations sur le projet Groupe Nom du projet Type de document Marc Mathieu Benjamin Netter David

Plus en détail

Date : Note /20 : EVALUATION Nom : Prénom : Classe : Traitement sur mots

Date : Note /20 : EVALUATION Nom : Prénom : Classe : Traitement sur mots Date : Note /20 : EVALUATION Nom : Prénom : Classe : Traitement sur mots API-1 Etre capable de : Sélectionner un format de mot adapté au type de donnée à traiter par un API. D interpréter les données contenues

Plus en détail

RE BL. C o n v e r t i s s e u r I n f r a r o u g e B l u e t o o t h. Manuel utilisateur

RE BL. C o n v e r t i s s e u r I n f r a r o u g e B l u e t o o t h. Manuel utilisateur RE BL C o n v e r t i s s e u r I n f r a r o u g e B l u e t o o t h Manuel utilisateur Introduction Le RE BL est un convertisseur Infrarouge-Bluetooth, Conçu pour permettre à n'importe quelle télécommande

Plus en détail

REALISATION D UNE CALCULATRICE GRACE AU LOGICIEL CROCODILE CLIPS 3.

REALISATION D UNE CALCULATRICE GRACE AU LOGICIEL CROCODILE CLIPS 3. 1 sur 6 REALISATION D UNE CALCULATRICE GRACE AU LOGICIEL CROCODILE CLIPS 3. OBJECTIF - PUBLIC - LOGICIEL - MATERIEL - METHODE - BIBLIOGRAPHIE - AVANTAGES - DIFFICULTES - AUTEUR DU DOCUMENT - LE DOCUMENT

Plus en détail

THEME 1 : L ORDINATEUR ET SON ENVIRONNEMENT. Objectifs

THEME 1 : L ORDINATEUR ET SON ENVIRONNEMENT. Objectifs Architecture Matérielle des Systèmes Informatiques. S1 BTS Informatique de Gestion 1 ère année THEME 1 : L ORDINATEUR ET SON ENVIRONNEMENT Dossier 1 L environnement informatique. Objectifs Enumérer et

Plus en détail

PIC EVAL Dev Board PIC18F97J60

PIC EVAL Dev Board PIC18F97J60 PIC EVAL Dev Board PIC18F97J60 2 TP1 : Prise en main de l environnement de programmation pour la carte PIC EVAL-ANFA Pour répondre aux questions et justifier vos réponses, vous pouvez faire des copies

Plus en détail

Reader. Consultation de la table des matières. Guide de l utilisateur PRS-505. Portable Reader System. 2007, 2008 Sony Corporation 3-277-508-22(1)

Reader. Consultation de la table des matières. Guide de l utilisateur PRS-505. Portable Reader System. 2007, 2008 Sony Corporation 3-277-508-22(1) Reader Consultation de la table des matières Guide de l utilisateur PRS-505 Portable Reader System 2007, 2008 Sony Corporation 3-277-508-22(1) A propos des manuels Le Guide de démarrage et le présent Guide

Plus en détail

C.F.A.O. : Conception et Fabrication Assistées par Ordinateur.

C.F.A.O. : Conception et Fabrication Assistées par Ordinateur. C.F.A.O. : Conception et Fabrication Assistées par Ordinateur. La CFAO réunit dans une même démarche informatique les actions de conception et de fabrication d un objet. La technique utilisée permet à

Plus en détail

Un ordinateur, c est quoi?

Un ordinateur, c est quoi? B-A.BA Un ordinateur, c est quoi? Un ordinateur, c est quoi? Un ordinateur est une machine dotée d'une unité de traitement lui permettant d'exécuter des programmes enregistrés. C'est un ensemble de circuits

Plus en détail

TER Licence 3 Electronique des Télécoms Transmission GSM

TER Licence 3 Electronique des Télécoms Transmission GSM Université de Savoie Module TER (Travaux d études et réalisation) TER Licence 3 Electronique des Télécoms Transmission GSM Note : L ensemble des documents du projet se trouve sur l espace étudiant du site

Plus en détail

FORMATION MULTIMÉDIA LVE

FORMATION MULTIMÉDIA LVE Windows Live Movie Maker est un logiciel de montage vidéo conçu pour les débutants. Il permet de créer, de modifier et de partager des séquences vidéo sur le web, par courrier électronique ou sur un CD/DVD.

Plus en détail

Cours 7 : Programmation d une chaîne d acquisition

Cours 7 : Programmation d une chaîne d acquisition Cours 7 : Programmation d une chaîne d acquisition 4 Concepts 4 Programmation Cible Pentium : Langages de haut niveau Langage graphique G sous LabView + librairies de VI ; Langage C + librairies de fonctions

Plus en détail

VIII- Circuits séquentiels. Mémoires

VIII- Circuits séquentiels. Mémoires 1 VIII- Circuits séquentiels. Mémoires Maintenant le temps va intervenir. Nous avions déjà indiqué que la traversée d une porte ne se faisait pas instantanément et qu il fallait en tenir compte, notamment

Plus en détail

LA MESURE INDUSTRIELLE

LA MESURE INDUSTRIELLE E02 LA MESURE INDUSTRIELLE 20 Heures Technicien responsable de la maintenance Approfondir les techniques de mesure; Prendre en compte l aspect métrologie. Connaître les limites et les facteurs d influences

Plus en détail

CONFIGURATION ET UTILISATION

CONFIGURATION ET UTILISATION COMPARATEUR DE CODE GRAY POUR CODEURS A SORTIES PARALLELES CONFIGURATION ET UTILISATION CCN165 LOREME 12, rue des Potiers d'etain Actipole BORNY - B.P. 35014-57071 METZ CEDEX 3 Téléphone 03.87.76.32.51

Plus en détail

Unité centrale de commande Watts W24

Unité centrale de commande Watts W24 N560/R01 (04.03.15) Unité centrale de commande Watts W24 Guide d utilisation FR Unité centrale sans fil 3-38 Instructions d installation et d emploi IMPORTANT! Le montage et le branchement de l unité de

Plus en détail

Apple Watch Guide de l utilisateur. Version 1.0

Apple Watch Guide de l utilisateur. Version 1.0 Apple Watch Guide de l utilisateur Version 1.0 Table des matières 5 Chapitre 1 : Premiers pas 5 L Apple Watch en bref 6 Conigurer la montre et la jumeler à l iphone 7 Icônes d état 8 L app Apple Watch

Plus en détail

GUIDE DE PRISE EN MAIN ISIS PROTEUS V7

GUIDE DE PRISE EN MAIN ISIS PROTEUS V7 GUIDE DE PRISE EN MAIN ISIS PROTEUS V7 1. Lancement d'isis PROTEUS V7:...2 2. Configuration de l'application d'isis PROTEUS V7:...3 3. Présentation de l'interface d'isis PROTEUS V7:...4 a) Barre de menus:...4

Plus en détail

BALISE GPS. Modèle EOLE. MANUEL INSTALLATEUR Version 3.4 GPS+GSM+SMS/GPRS

BALISE GPS. Modèle EOLE. MANUEL INSTALLATEUR Version 3.4 GPS+GSM+SMS/GPRS BALISE GPS GPS+GSM+SMS/GPRS Modèle EOLE MANUEL INSTALLATEUR Version 3.4 Vous venez de faire l acquisition de notre balise EOLE et nous vous remercions de votre confiance. EOLE est une balise utilisant

Plus en détail

Systèmes pour la surveillance et la commande lors de l entreposage et du transvasement de liquides. BA00.0022.10 100 03

Systèmes pour la surveillance et la commande lors de l entreposage et du transvasement de liquides. BA00.0022.10 100 03 Appareil de contrôle de niveau NK312 24V Manuel d utilisation Systèmes pour la surveillance et la commande lors de l entreposage et du transvasement de liquides. BA00.0022.10 100 03 Seite 1 Manuel d utilisation

Plus en détail

KX-DT321 Guide d utilisation rapide

KX-DT321 Guide d utilisation rapide 1 Réglage du contraste Appuyez sur la touche PROGRAM puis appuyez 2x sur la flèche en bas, le menu [Contraste LCD] apparaît puis appuyez sur la touche puis augmenter ou diminuer le [Choix du contraste]

Plus en détail

Station Météo Sans Fil avec Température / Hygrométrie, Alerte Gel et Horloge Radio Pilotée Modèle : BAR388HG

Station Météo Sans Fil avec Température / Hygrométrie, Alerte Gel et Horloge Radio Pilotée Modèle : BAR388HG Station Météo Sans Fil avec Température / Hygrométrie, Alerte Gel et Horloge Radio Pilotée Modèle : BAR388HG MANUEL DE L UTILISATEUR TABLE DES MATIERES Table des Matières... 1 Introduction... 2 Vue d Ensemble

Plus en détail

MANUEL D UTILISATION EASY 12

MANUEL D UTILISATION EASY 12 MANUEL D UTILISATION EASY 12 NUMERIQUE RVE TECHNOLOGIE Siège social : Rue Gutenberg. Z.I. Les Carreaux. B.P. 19. 77440 Lizy-sur-Ourcq. France Tel : +33 (0)1 60 61 53 00, Fax : +33 (0)1 60 01 19 10, E-mail

Plus en détail

Informatique industrielle A7-19571 Systèmes temps-réel J.F.Peyre. Partie I : Introduction

Informatique industrielle A7-19571 Systèmes temps-réel J.F.Peyre. Partie I : Introduction Informatique industrielle A7-19571 Systèmes temps-réel J.F.Peyre Partie I : Introduction Plan de la première partie Quelques définitions Caractéristiques communes des applications temps-réel Exemples d

Plus en détail

IRL : Simulation distribuée pour les systèmes embarqués

IRL : Simulation distribuée pour les systèmes embarqués IRL : Simulation distribuée pour les systèmes embarqués Yassine El Khadiri, 2 ème année Ensimag, Grenoble INP Matthieu Moy, Verimag Denis Becker, Verimag 19 mai 2015 1 Table des matières 1 MPI et la sérialisation

Plus en détail

12 Configuration de l appareil

12 Configuration de l appareil Chapitre 12 Configuration de l appareil Le menu System vous propose un certain nombre de paramètres que vous pouvez utiliser pour configurer l appareil en fonction de vos besoins. Le menu System est disponible

Plus en détail

Architecture matérielle des systèmes informatiques

Architecture matérielle des systèmes informatiques Architecture matérielle des systèmes informatiques IDEC, Renens. Version novembre 2003. Avertissement : ce support de cours n est pas destiné à l autoformation et doit impérativement être complété par

Plus en détail

Système binaire. Algèbre booléenne

Système binaire. Algèbre booléenne Algèbre booléenne Système binaire Système digital qui emploie des signaux à deux valeurs uniques En général, les digits employés sont 0 et 1, qu'on appelle bits (binary digits) Avantages: on peut utiliser

Plus en détail

Gestion Projet. Cours 3. Le cycle de vie

Gestion Projet. Cours 3. Le cycle de vie Gestion Projet Cours 3 Le cycle de vie Sommaire Généralités 3 Séquentiel 7 Itératif/Incrémental 17 Extreme Programming 22 Que choisir? 29 Etats Transverse 33 Cours 3 2006-2007 2 Généralités Cours 3 2006-2007

Plus en détail

Manuel d installation du clavier S5

Manuel d installation du clavier S5 1 Manuel d installation du clavier S5 Table des matières 1. Contenu de l emballage... 3 2. Guide de programmation... 3 3. Description... 4 4. Caractéristiques techniques du clavier S5... 4 5. Spécifications

Plus en détail

EWCM9900. Manuel d utilisation COMMERCIAL REFRIGERATION. version 02.08. Invensys Controls Europe An Invensys Company

EWCM9900. Manuel d utilisation COMMERCIAL REFRIGERATION. version 02.08. Invensys Controls Europe An Invensys Company Manuel d utilisation COMMERCIAL REFRIGERATION FR version 02.08 Invensys Controls Europe An Invensys Company 2 SOMMAIRE DESCRIPTION GÉNÉRALE... page 3 Utilisation du manuel... page 3 Instructions... page

Plus en détail

SOMMAIRE Equipement Instructions générales. 1.Vue générale. 1.1 Face avant. 1.2 Face arrière. 2 Mode D emploi. 2.1.1 Adressage DMX

SOMMAIRE Equipement Instructions générales. 1.Vue générale. 1.1 Face avant. 1.2 Face arrière. 2 Mode D emploi. 2.1.1 Adressage DMX SOMMAIRE Equipement Instructions générales. 1.Vue générale 1.1 Face avant 1.2 Face arrière 2 Mode D emploi 2.1.1 Adressage DMX 2.1.2 Bloc de puissance en mode Statique 2.1.3 Bloc de puissance en mode Dimmer

Plus en détail

Fiche technique CPU 314SC/DPM (314-6CG13)

Fiche technique CPU 314SC/DPM (314-6CG13) Fiche technique CPU 314SC/DPM (3146CG13) Données techniques N de commande 3146CG13 Type CPU 314SC/DPM Information générale Note Caractéristiques SPEEDBus Technologie SPEED7 24 x DI, 16 x DO, 8 x DIO, 4

Plus en détail

MegaStore Manager ... Simulation de gestion d un hypermarché. Manuel du Participant

MegaStore Manager ... Simulation de gestion d un hypermarché. Manuel du Participant MegaStore Manager Simulation de gestion d un hypermarché.......... Manuel du Participant 1. Introduction 1.1. La simulation de gestion Vous allez participer à une simulation de gestion. Cette activité

Plus en détail

DimNet Gradateurs Numériques Evolués Compulite. CompuDim 2000

DimNet Gradateurs Numériques Evolués Compulite. CompuDim 2000 DimNet Gradateurs Numériques Evolués Compulite La gamme des gradateurs Compulite est conçue autour des technologies les plus récentes et les plus évoluées que ces 20 dernières années ont vu apparaître.

Plus en détail

TP Modulation Démodulation BPSK

TP Modulation Démodulation BPSK I- INTRODUCTION : TP Modulation Démodulation BPSK La modulation BPSK est une modulation de phase (Phase Shift Keying = saut discret de phase) par signal numérique binaire (Binary). La phase d une porteuse

Plus en détail

MAC-TC: programmation d un plate forme DSP-FPGA

MAC-TC: programmation d un plate forme DSP-FPGA MAC-TC: programmation d un plate forme DSP-FPGA Tanguy Risset avec l aide de: Nicolas Fournel, Antoine Fraboulet, Claire Goursaud, Arnaud Tisserand - p. 1/17 Plan Partie 1: le système Lyrtech Introduction

Plus en détail

Manuel de l utilisateur

Manuel de l utilisateur Manuel de l utilisateur Nous vous remercions pour l achat de l appareil photo sportif GoXtreme WiFi. Avant d utiliser ce produit, veuillez lire attentivement ce guide pour optimiser ses performances et

Plus en détail

Représentation d un entier en base b

Représentation d un entier en base b Représentation d un entier en base b 13 octobre 2012 1 Prérequis Les bases de la programmation en langage sont supposées avoir été travaillées L écriture en base b d un entier est ainsi défini à partir

Plus en détail

1) Information sur le logiciel et la notice 2) Le tableau de bord 3) Les devis 4) Les factures 5) Les factures d acompte 6) Les avoirs sur facture

1) Information sur le logiciel et la notice 2) Le tableau de bord 3) Les devis 4) Les factures 5) Les factures d acompte 6) Les avoirs sur facture NOTICE 1/75 SOMMAIRE MyAbaque a été réalisé pour les petites et moyennes entreprises et les autosentrepreneurs. Il permet de suivre et contrôler le déroulement d un dossier (réalisation du devis, commande

Plus en détail

Initiation au binaire

Initiation au binaire Présenté par TryEngineering Objet de la leçon Cette leçon explique les principes du code binaire et ses applications possibles par les ingénieurs informaticiens. Dans cette leçon, les élèves réaliseront

Plus en détail

Projet audio. Analyse des Signaux ELE2700

Projet audio. Analyse des Signaux ELE2700 ÉCOLE POLYTECHNIQUE DE MONTRÉAL Département de Génie Électrique Projet audio Analyse des Signaux ELE2700 Saad Chidami - 2014 Table des matières Objectif du laboratoire... 4 Caractérisation du bruit...

Plus en détail

AMICUS 18 (2ème partie) 4) Présentation du logiciel Amicus IDE

AMICUS 18 (2ème partie) 4) Présentation du logiciel Amicus IDE AMICUS 18 (2ème partie) Dans la première partie, nous avions présenté la platine Amicus 18 et nous avions réalisé quelques montages simples. Nous allons découvrir un peu mieux la programmation. Dans la

Plus en détail

Business Talk IP Centrex. guide. web utilisateur. pour. les services standards

Business Talk IP Centrex. guide. web utilisateur. pour. les services standards guide web utilisateur pour les services standards 1/22 version 03 décembre 2013 table des matières Accès au web utilisateur... 3 Onglet accueil... 5 Onglet services téléphoniques... 7 services standards...

Plus en détail

Link GSM idp Manuel v1.0

Link GSM idp Manuel v1.0 Link GSM idp Manuel v1.0 Les mises à jour du manuel et du firmware sont disponibles sur : www.linkcom.fr Sommaire 1. Description basique... 3 1.1 Fonctionnalités... 3 2. Installation... 4 2.1. Assemblage

Plus en détail

Implémentation Matérielle des Services d un RTOS sur Circuit Reconfigurable

Implémentation Matérielle des Services d un RTOS sur Circuit Reconfigurable Implémentation Matérielle des Services d un RTOS sur Circuit Reconfigurable Pierre Olivier*, Jalil Boukhobza*, Jean-Philippe Babau +, Damien Picard +, Stéphane Rubini + *Lab-STICC, + LISyC, Université

Plus en détail

GESTION LECTURE/ÉCRITURE

GESTION LECTURE/ÉCRITURE LA GESTION SIMPLIFIÉE HEXACT UNIE À LA TECHNOLOGIE 2 FILS NON POLARISÉS DE FERMAX GESTION LECTURE/ÉCRITURE PLATINE INOX ANTIVANDALE LOGICIEL HEXASOFT web.hexact.fr ORDINATEUR MISE À JOUR À TRAVERS LE BADGE

Plus en détail

FICHE UE Licence/Master Sciences, Technologies, Santé Mention Informatique

FICHE UE Licence/Master Sciences, Technologies, Santé Mention Informatique NOM DE L'UE : Algorithmique et programmation C++ LICENCE INFORMATIQUE Non Alt Alt S1 S2 S3 S4 S5 S6 Parcours : IL (Ingénierie Logicielle) SRI (Systèmes et Réseaux Informatiques) MASTER INFORMATIQUE Non

Plus en détail