Démarrer avec Quartus II

Dimension: px
Commencer à balayer dès la page:

Download "Démarrer avec Quartus II"

Transcription

1 Quartus II permet la description d'un projet, sa compilation, sa simulation logique et temporelle, son analyse temporelle et la programmation d'un circuit CPLD ou FPGA, dit circuit cible. Quartus II est le successeur de Max+plus II chez Altera. Description graphique ou textuelle Simulation fonctionnelle Choix du circuit cible, simulation temporelle Programmation Attention : ce didacticiel a été écrit pour Quartus II 7.2 ; on trouvera quelques différence si des versions antérieures sont utilisées. 1 Configurer Quartus et vérifier la validité de la licence Avant d utiliser le logiciel, il faut le configurer et vérifier la validité de la licence. On se reportera pour cela aux annexes 1 et 2 ; 2 Fonctions de bases Dans cette partie, nous verrons comment décrire un projet, effectuer une simulation fonctionnelle, affecter les entrées sorties et programmer notre circuit cible. 2.1 Ouvrir un nouveau projet Nous allons dans un premier temps, décrire un simple diviseur de fréquence en VHDL, qui à partir de l horloge à 25,175 MHz de la carte de développement, va faire clignoter la DEL d un afficheur à 1 Hz. oscillateur à quartz 25,175 MHz diviseur de fréquence CPLD Pour une description plus précise de la carte de développement, on se réfèrera aux annexes. L ouverture de Quartus affiche la fenêtre suivante, comprenant 4 zones ou fenêtres principales : Programmation des CPLD et FPGA en VHDL avec Quartus II 1/24

2 - une zone «navigateur de projet» permettant de gérer les différents fichiers d un projet ; - une zone de travail permettant la synthèse du projet ; - une zone d état (Status) permettant de voir l avancement de la tâche en cours ; - une zone de messages Si ces fenêtres n apparaissent pas, on peut les activer par : Après l ouverture du logiciel, un assistant vous permet, après une fenêtre d introduction, de commencer à configurer vos projets : Programmation des CPLD et FPGA en VHDL avec Quartus II 2/24

3 Définir un nouveau dossier à l aide de l explorateur qui s ouvre (CLIGNOTANT par exemple) et attribuer un nom à votre projet (qui peut être aussi CLIGNOTANT par faire simple). Attention : ne pas utiliser de caractères accentués pour les noms de projet et fichiers. Les écrans suivants permettent éventuellement d associer des fichiers au projet et divers outils qui ne seraient pas intégrés dans Quartus. Dans un premier temps, nous associerons une description VHDL d un diviseur de fréquence, nommée div.vhd, qui se trouve dans le répertoire «RESSOURCES». On choisit ensuite le circuit cible (notre carte de développement contient un EPM7128SSLC84-7 de la famille MAX7000S des CPLD Altera). Noter que cette étape permet également de définir le circuit cible par des critères tels que famille, brochage etc ou de laisser libre choix au logiciel de choisir le circuit le mieux approprié au projet dans une famille donnée. L assistant permet également de sélectionner d autres outils EDA (Electronic Design Automotion tools) autres que Quartus pour la synthèse, la simulation ou l analyse temporelle. Nous nous contenterons des outils fournis par Quartus. Enfin, la dernière fenêtre résume les choix opérés. Programmation des CPLD et FPGA en VHDL avec Quartus II 3/24

4 Lorsque l on souhaitera ouvrir de nouveau ce projet, on procèdera comme suit : Dans Quartus, un fichier n est rien s il n est pas intégré -et ouvert- dans un projet. 2.2 Description en VHDL Par un double clic sur le fichier div.vhd dans la fenêtre «navigateur de projet» ouvrir le fichier VHDL sur lequel nous allons travailler. Afin d éviter de modifier le fichier ressource, sauvegarder ensuite ce fichier dans votre répertoire de travaille par «File -> Save As» sous le même nom que l entité de la description VHDL (c est important comme nous le verrons par la suite). Programmation des CPLD et FPGA en VHDL avec Quartus II 4/24

5 Par le menu contextuel (clic droit sur le nom du fichier dans le navigateur), enlever le fichier ressource du projet, puis toujours par le menu contextuel, placer le fichier div.vhd qui se trouve dans notre répertoire de travail au niveau le plus élevé de la hiérarchie (nous verrons ces notions en détail en temps utiles). C est maintenant sur ce fichier que nous allons travailler. Pour réaliser cette opération, il est aussi possible d utiliser le raccourci clavier «Ctrl Shift J» ou encore «Project -> Set as top Level Entity». On notera que dans l onglet «Hierarchy» de la fenêtre du navigateur de projet, c est le fichier div.vhd qui est maintenant affiché. Etudier le fichier VHDL afin de comprendre son fonctionnement (voir aussi la reproduction commentée du programme en annexe 4). Il aurait été également possible de partir d un fichier vierge et de saisir au clavier notre description VHDL par la méthode suivante : Programmation des CPLD et FPGA en VHDL avec Quartus II 5/24

6 2.3 Analyse et synthèse On peut ensuite lancer une première analyse du projet, soit par «Ctrl k», soit par l icône appropriée : Cette première étape nous permettra d effectuer une simulation fonctionnelle de notre description, ainsi que de déclarer les entrées sorties au logiciel pour une affectation ultérieure. Normalement cette étape se déroule sans erreurs (sinon voir la fin de cette première partie) : Programmation des CPLD et FPGA en VHDL avec Quartus II 6/24

7 On notera l évolution de cette étape dans la fenêtre Status, ainsi que la présence d un avertissement dans la fenêtre Message, signalant que des sorties sont maintenues à VCC quel que soit l état des entrées, ce qui peut être effectivement une erreur dans certains cas. 2.4 Simuler Cette étape va nous permettre de valider le fonctionnement de notre description, d un point de vue logique uniquement (sans prendre en compte les retards que va introduire le circuit cible). Avant de simuler, il est nécessaire de préciser les sorties que l on souhaite observer, ainsi que les entrées que l on applique Créer et décrire le fichier de simulation C est un fichier graphique où sont décrits, sous forme de chronogrammes, les signaux d entrée, ainsi que les signaux de sortie que l on souhaite visualiser. Programmation des CPLD et FPGA en VHDL avec Quartus II 7/24

8 Préciser ensuite la durée de simulation (1 µs par exemple), ainsi que le pas de la grille (10 ns par exemple). On insère ensuite les différentes entrées sorties par un clic droit dans la colonne «Name» et «IInsert» : Dans la fenêtre qui s ouvre, cliquer sur «Node Finder», puis valider «Pin all» dans le filtre, et cliquer sur «List» : Sélectionner ensuite les signaux H et S (le bus SEG reste toujours à 1) et les faire passer dans la fenêtre de droite. Pour comprendre le fonctionnement de notre diviseur, nous aurons également besoin de voir l évolution du signal X qui n apparaît pas dans les entrées sorties, mais qui fait partie des registres de pré-synthèse ; on le fait apparaître en appliquant l option «Register :pre-synthesis» au filtre. Programmation des CPLD et FPGA en VHDL avec Quartus II 8/24

9 On valide ensuite dans la dernière fenêtre, où on peut, si nécessaire, changer le format (radix) des bus. On impose ensuite l allure des entrées (ici l horloge H) en cliquant sur le nom du signal, puis en utilisant les icônes à gauche (ici «Overwrite Clock»). Remarque : il serait également possible de définir un temps à l état 1 ou 0 en sélectionnant la zone du chronogramme concernée par un «glissé» de la souris bouton gauche enfonce, puis en validant avec l icône appropriée. Programmation des CPLD et FPGA en VHDL avec Quartus II 9/24

10 On sauve ensuite le fichier avec un nom évocateur (TEST_CLIGNOTANT par exemple) avec une extension «.vwf» pour «Vector Waveform File» Lancer une simulation fonctionnelle Ouvrir pour cela le simulateur : - valider l option «Fonctional» ; - déclarer le fichier précédent «TEST_CLIGNOTANT» comme entrée de simulation ; - choisir les options comme indiqué ci-après ; - générer la liste de connexions (netlist) nécessaire à la simulation par «Generate Fonctional Simulation Netlist». - lancer la simulation par «Start» ; - afficher le résultat par «Report». Attention : dans certains versions précédentes de Quartus, le sous menu «Simulateur Tools» se trouve dans le menu «Tools». Programmation des CPLD et FPGA en VHDL avec Quartus II 10/24

11 Changer éventuellement le format du bus X en le sélectionnant et en éditant ses propriétés par un clic droit. Utiliser l icône «loupe» pour zoomer le chronogramme (clic gauche) ou le compresser (clic droit). La sortie S reste à 0, elle ne basculera qu après / 2 impulsions, ce qui imposerait un temps de simulation trop long pour voir ce basculement. Contrairement à Max+plus II, le simulateur de Quartus ne permet pas d initialiser simplement les registres internes (d où l intérêt d utiliser parfois d autres simulateurs comme le propose l assistant au démarrage). On pourra contourner cette limitation en changeant la valeur du modulo M dans la description et en relançant analyse, synthèse, génération de netlist et simulation. Ne pas oublier dans ce cas de remettre la bonne valeur avant la programmation. Observer les différentes fenêtres qui se sont ouvertes dans l espace de travail ; noter que le chronogramme résultat de la simulation n est pas modifiable (pour ajouter une entrée par exemple), seul le fichier.vwf de description l est. 2.5 Assigner les entrées sorties Avant de lancer la compilation du circuit, il est nécessaire d affecter les entrées sorties aux numéros de broches de notre circuit cible de sorte à être compatible avec la carte de développement (consulter l annexe). Ouvrir l éditeur d assignement de broche, zoomer la zone qui nous intéresse sur le dessin du circuit cible, sélectionner un nom d entrée sortie dans la fenêtre du bas, et le faire glisser sur le numéro de la broche correspondant. Répéter l opération pour chaque broche. On peut vérifier l affectation des broches soit dans le tableau de la fenêtre du bas, soit en passant la souris sur une broche sur le dessin du circuit. Pour supprimer une affectation, sélectionner la broche sur le circuit et appuyer sur «Suppr» au clavier. Programmation des CPLD et FPGA en VHDL avec Quartus II 11/24

12 2.6 Compiler le projet Lors de cette opération, le logiciel va créer les fichiers nécessaires à la programmation en définissant l emplacement de chaque fonction logique au sein du circuit cible. Ouvrir le compilateur et lancer la compilation. Attention : dans certains versions précédentes de Quartus, le sous menu «Compilateur Tools» se trouve dans le menu «Tools». Remarque : le compilateur et le simulateur ayant été ouverts, ils apparaissent comme onglet dans la fenêtre de travail, il suffit maintenant de cliquer dessus pour les faire réapparaître. 2.7 Programmer le circuit Ouvrir la fenêtre du programmateur et vérifier que la liaison ByteBlasterMV sur le port LPT1 est reconnue (sinon se reporter à l annexe 3) puis sélectionner le fichier «clignotant.pof» par «Add file» s il n est pas déjà présent. Programmation des CPLD et FPGA en VHDL avec Quartus II 12/24

13 Cocher la case «Program/Config», puis lancer la programmation par «Start» : Vérifier sur la carte que l effet est bien celui attendu. 2.8 Gestion des erreurs Dans la mise en œuvre précédente, nous avons utilisé une description déjà testée, et il n y a normalement pas eu d erreur lors des différentes opérations de synthèse et compilation. C est cependant rarement le cas. Pour tester ce qui se passe en cas d erreur, supprimons le «;» après le «END div» dans la description VHDL. Lancer ensuite la compilation (Ctrl K) : Programmation des CPLD et FPGA en VHDL avec Quartus II 13/24

14 Un message d erreur apparaît alors, sur lequel on cliquera deux fois pour localiser l erreur au sein du fichier description ; on notera que la localisation se fait souvent à la ligne suivant l erreur (le compilateur attend un «;» et trouve «ARCHITECTURE»). Il est également possible d obtenir de l aide concernant cette erreur en sélectionnant l erreur, puis par le menu contextuel (clic droit), faire «Help». Corriger l erreur et relancer la compilation. Une erreur classique consiste à vouloir enregistrer le fichier sous un nom différent de l entité ; faire l essai avec ce fichier («File -> Save As»). 3 Quelques fonctionnalités avancées Le concepteur d un projet complexe se retrouve souvent confronté à des problèmes de vitesse ou de place (généralement les deux) au sein du circuit cible. Pour trouver le bon compromis, il va être amené à analyser précisément le chemin parcouru par certains signaux et le temps mis pour parcourir ce chemin, et éventuellement modifier de manière plus ou moins importante sa description initiale pour satisfaire le cahier des charges. Programmation des CPLD et FPGA en VHDL avec Quartus II 14/24

15 Afin d aider le concepteur à mener à bien son projet, Quartus propose un certain nombre de fonctionnalités avancées sur l analyse temporelle des signaux et leur placement au sein du circuit cible. Nous ne ferons ici qu approcher quelques unes de ces fonctions. 3.1 Optimisation du taux d occupation du circuit Il est possible de demander au compilateur d optimiser le taux d occupation du circuit en validant l option «Area» de la fenêtre «Analysis & Synthesis Settings» du sous menu «Settings» ; il s agit d ailleurs de l option par défaut. ou encore Lancer la compilation par «Processing -> Compilateur Tool -> Start» puis ouvrir le rapport de compilation par «Report» Noter le taux d occupation du circuit de 46 macrocellules sur 128, soit 36%. Ouvrir maintenant l analyseur temporel : - sur l onglet «Registered Performance», et noter la fréquence maximale d horloge possible de 68,49 MHz ; - sur l onglet «tco» (time clock to ouput), noter les différents temps. Programmation des CPLD et FPGA en VHDL avec Quartus II 15/24

16 Attention : dans certains versions précédentes de Quartus, le sous menu «Timing Analyser Tools» se trouve dans le menu «Tools». Quartus permet également une analyse au «niveau registres» de la compilation par le «RTL (Register Transfert Level) Viewver» que l on ouvre par «Tools -> Netlist Viewvers - > RTL Viewver» (attention. cette option n est pas disponible avec la licence web). On peut alors voir comment Quartus a implanté notre description sur le circuit : - un additionneur «Add0» de 25 bits incrémente le signal de l entrée A (l entrée B vaut 1 soit en hexadécimal) ; - le signal A de l entrée de l additionneur est comparé par le comparateur «Less Than0» à la valeur hexadécimale 18023D7 soit en décimal, c est à dire M-1 ; dès que le signal A atteint cette valeur, la sortie OUT du comparateur passe à 1 ; - c est alors l entrée B du multiplexeur MUX21 qui se retrouve à la sortie de ce bloc ; - la sortie du multiplexeur attaque un registre parallèle 25 bits, dont l horloge est notre signal H ; - un comparateur «Less Than1» compare la sortie du registre avec 0C011EC en hexadécimal, soit en décimal, soit la moitié de notre modulo M= un multiplexeur 1 bit permet alors d envoyer 0 ou 1 en sortie suivant le résultat de la comparaison. On peut remarquer que le logiciel a utilisé un ensemble de fonction prédéfinis dans ces bibliothèques, appelé «megafunction» ou «LPM functions» (Library of Parameterized Modules) que nous utiliserons lors de la prochaine séances. Programmation des CPLD et FPGA en VHDL avec Quartus II 16/24

17 3.1.1 Simulation La simulation que nous avons faite précédemment était purement fonctionnelle et ne prenait en compte que la manière dont nous avions décrit le diviseur, sans tenir compte du circuit cible et de ses temps de propagation, ni de la manière dont le compilateur va placer notre description au sein du circuit. Nous pouvons maintenant lancer une simulation temporelle, mais avant il nous faut préciser quels signaux nous souhaitons observer. Ouvrir les fichiers de stimulis de la simulation (TEST_CLIGNOTANT.vwf), puis par le menu contextuel de la colonne des noms de signaux, insérer le signal X réellement placé sur le circuit en sélectionnant «Register : post-fitting» au niveau du filtre, puis «List», de manière à obtenir l écran suivant : Placer le pas de grille à 5 ns et lancer ensuite une simulation temporelle : Observer le résultat : Programmation des CPLD et FPGA en VHDL avec Quartus II 17/24

18 On notera en particulier : - la disparition du signal X de la simulation temporelle (tout comme le signal lpm_counterx disparaîtrait d une simulation fonctionnelle ; - le temps de propagation entre le front d horloge et le basculement de la sortie de 2,5 ns 3.2 Optimisation de la vitesse Reprendre les manipulations précédentes en optimisant cette fois la vitesse ; on notera alors une fréquence maximale de 78,13 MHz (68,49 MHz précédemment) et un taux d occupation du circuit de 49% avec 63 macrocellules. La simulation temporelle donne un résultat similaire, le gain de vitesse ayant surtout été réalisé autour des parties combinatoires (dont nous n observons pas ici la sortie S). Programmation des CPLD et FPGA en VHDL avec Quartus II 18/24

19 Annexe 1 : Obtenir et configurer la licence Quartus II est disponible gratuitement sur le site d Altera Après installation, lors de la première ouverture du logiciel (ou si la licence n est pas valide), Quartus propose trois options : - travailler avec une version d évaluation pendant un mois ; il ne sera alors pas possible de programmer de circuit ; - effectuer une demande automatique de licence sur le site internet d Altera ; sous réserve de posséder une connexion, vous serez alors basculé vers la page de demande de licence, où après avoir complété les différents renseignements, vous recevrez un fichier «.dat» par courriel (il faut donc une adresse valide) ; la licence étant reconnue à partir de la carte réseau de votre ordinateur, celui-ci devra en intégré une ; - spécifier un fichier licence valide (ce qui suppose d avoir effectué l étape précédente). Une fois votre fichier licence reçu par courriel (cas 2), il faut le déclarer au logiciel (cas 3). Pour cela, copier le fichier «.dat» sur votre disque dur et déclarer l emplacement comme suit : Remarques : - pour obtenir la licence de «Quartus II Web Edition», un ordinateur PC équipé d une carte réseau suffit ; le numéro (NIC ID) de cette carte vous sera demandée en cas d inscription manuelle ou sera lu lors de la connexion en cas d inscription automatique. La licence ne sera valable qu associée à cette carte réseau. - pour obtenir la licence de la version complète, une clé matérielle (associée à son numéro «Software Guard ID») sera nécessaire ; la licence ne sera alors valide que si la clé matérielle est connectée à l ordinateur ; - la licence est simplement un fichier texte (ouvrable avec n importe quel éditeur) avec une extension «.dat» ; lorsque plusieurs licences sont utilisées (dans le cas où Quartus sera installé sur plusieurs PC par exemple), il est possible de les regrouper tous les fichiers licence en un seul fichier «.dat» où seront copiés les textes associés à chaque fichier élémentaire à l aide du Bloc Note de Windows par exemple ; attention ne pas utiliser Word pour cette opération, ce programme introduisant des caractères spéciaux rendant le fichier illisible pour Quartus. Programmation des CPLD et FPGA en VHDL avec Quartus II 19/24

20 Annexe 2 : Configurer l affichage Deux interfaces graphiques sont envisageables avec Quartus II : - l interface «classique» ; - l interface rappelant les menus de max+plus II (le logiciel de génération précédente chez Altera). Nous travaillerons ici avec la première interface, obtenu de la manière suivante : Quartus ouvre beaucoup de fenêtre, il est possible de choisir ses fenêtres par le menu suivant : Programmation des CPLD et FPGA en VHDL avec Quartus II 20/24

21 Annexe 3 : Configurer le programmateur Le logiciel permet la programmation ou la configuration des circuits cibles. On parle de programmation pour les circuits à connexions en technologie non volatile EEPROM (cette opération se fait avec un fichier «.pof» généré par le compilateur) et de configuration pour les circuits à connexions en technologie volatile de type SRAM (cette opération se fait avec un fichier «.sof»). Il est nécessaire de déclarer l interface matérielle de programmation au logiciel (dans notre cas un bus JTAG associé à un cordon ByteblasterMV sur le port parallèle LPT1). Ouvrir pour cela le programmateur puis cliquer sur «Hardware Setup.» Cliquer sur «Add Hardware», sélectionner le matériel utilisé et le port sur lequel il est connecté, valider par «OK» : Sélectionner ce matériel dans la liste, puis cliquer sur «Select Hardware», fermer la fenêtre par «Close» et vérifier que le type de matériel apparaît bien en face de «Hardware Setup» : Programmation des CPLD et FPGA en VHDL avec Quartus II 21/24

22 Annexe 4 : Programme VHDL du diviseur LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_unsigned.all; --les paquetages std_logic_1164 et std_logic_unsigned de la bibliothèque ieee --permettent respectivement l'utilisation du type std_logic et l'addition avec ce type les commentaires, précédés de deux tirets l entité, décrivant une boîte noire avec des entrées ENTITY div IS sorties d un type particulier --H est le signal d'horloge à 25,175 MHz --S est le résultat de la division de la fréquence de H par les 15 bits de SEG seront toujours au NL1 pour éteindre les segments non utilisés port ( H : IN STD_LOGIC; SEG : OUT STD_LOGIC_VECTOR (14 downto 0); S : OUT STD_LOGIC); le type std_logic_vector est un bus. END div; la direction (ici une sortie) le type std_logic peut prendre les valeurs «1», «0» ou «haute impédance». ARCHITECTURE archdiv OF div IS l architecture --pour compter jusqu'à ( ) il faut 25 bits (2^25= ) SIGNAL X : STD_LOGIC_VECTOR (24 downto 0); CONSTANT M : INTEGER := ; BEGIN PROCESS (H) BEGIN --compteur modulo M IF (H'EVENT AND H = '1') THEN IF X >= M-1 THEN X <= (others=>'0');--mise à 0 de X ELSE X <= X + 1 ; END IF; END IF; END PROCESS le process surveille sa liste de sensibilité (ici H) des ressources internes : - un signal pour mémoriser le comptage ; - une constante pour le modulo au front montant de H, on incrémente le comptage jusqu à la valeur maximale --à la moitié du comptage on change la valeur de S (rapport cyclique 1/2) S<='1' when X>=M/2 else '0'; -- extinction des 15 segments non utilisés par mise à 1 des bits de SEG SEG<= (others =>'1'); le signal de sortie reste au niveau logique 1 pendant END archdiv; la moitié du comptage Programmation des CPLD et FPGA en VHDL avec Quartus II 22/24

23 Annexe 5 : connectique de la carte de développement UP1 et UP2 Alimentation continue Tension continue de 7 à 9 V, 250 ma minimum, polarité positive au centre du connecteur. Cavaliers de configuration Suivant l utilisation souhaitée de la carte de développement, le cavaliers se trouvant au-dessus du circuit MAX7000 doivent être configurés comme indiqué ci-dessous (les zones grisées représentent les bornes en contact) : TDI TDO DEVICE BOARD TDI TDO DEVICE BOARD TDI TDO DEVICE BOARD TDI TDO DEVICE BOARD ALTERA MAX EPM7128SLC84-7 ALTERA MAX EPM7128SLC84-7 ALTERA MAX EPM7128SLC84-7 ALTERA MAX EPM7128SLC84-7 Programmation du Max7000 EPM7128S Configuration du Flex10K EPF10K20 Programmation et configuration des 2 circuits Connection de plusieurs cartes ensembles Oscillateur Fréquence de 25,175 MHz, relié à la borne 83 de l EPM7128S et à la borne 91 de l EPF10K20. Afficheurs Les deux groupes d afficheurs sont reliés aux bornes des circuits comme indiqué ci-dessous : EPM7128S EPf10K20 Programmation des CPLD et FPGA en VHDL avec Quartus II 23/24

24 Annexe 5 : principales extensions de fichiers fichier descriptif du projet :.qpf Fichiers de description description graphique :.bdf description vhdl :.vhd description par chronogrammes (fichier de simulation) :.wdf Fichiers de programmation composants EEPROM :.pof composants SRAM :.sof Fichiers divers rapport de compilation :.rpt assignation des broches :.acf symbole graphique d une description :.sym Programmation des CPLD et FPGA en VHDL avec Quartus II 24/24

SIN-FPGA DESCRIPTION PAR SCHEMA

SIN-FPGA DESCRIPTION PAR SCHEMA SIN-FPGA DESCRIPTION PAR SCHEMA Documents ressources: http://www.altera.com/literature/lit-index.html Introduction to Quartus II : intro_to_quartus2.pdf Documentation QUARTUS II : quartusii_handbook.pdf

Plus en détail

TABLE DES MATIÈRES 1. DÉMARRER ISIS 2 2. SAISIE D UN SCHÉMA 3 & ' " ( ) '*+ ", ##) # " -. /0 " 1 2 " 3. SIMULATION 7 " - 4.

TABLE DES MATIÈRES 1. DÉMARRER ISIS 2 2. SAISIE D UN SCHÉMA 3 & '  ( ) '*+ , ##) #  -. /0  1 2  3. SIMULATION 7  - 4. TABLE DES MATIÈRES 1. DÉMARRER ISIS 2 2. SAISIE D UN SCHÉMA 3! " #$ % & ' " ( ) '*+ ", ##) # " -. /0 " 1 2 " 3' & 3. SIMULATION 7 0 ( 0, - 0 - " - & 1 4. LA SOURIS 11 5. LES RACCOURCIS CLAVIER 11 STI Electronique

Plus en détail

1 ) INSTALLATION DE LA CONSOLE 2 2 ) PREMIER DÉMARRAGE DE LA CONSOLE 3 3 ) LES JOBS 4 4 ) LES ORDINATEURS 6

1 ) INSTALLATION DE LA CONSOLE 2 2 ) PREMIER DÉMARRAGE DE LA CONSOLE 3 3 ) LES JOBS 4 4 ) LES ORDINATEURS 6 1 ) INSTALLATION DE LA CONSOLE 2 2 ) PREMIER DÉMARRAGE DE LA CONSOLE 3 3 ) LES JOBS 4 4 ) LES ORDINATEURS 6 5 ) RÉINSTALLATION COMPLÈTE D UN ORDINATEUR 8 6 ) DÉTAILS D UN JOB 9 7 ) RELANCER UN JOB INCOMPLET

Plus en détail

1 Démarrer... 3 1.1 L écran Isis...3 1.2 La boite à outils...3 1.2.1 Mode principal... 4 1.2.2 Mode gadget...4 1.2.3 Mode graphique...

1 Démarrer... 3 1.1 L écran Isis...3 1.2 La boite à outils...3 1.2.1 Mode principal... 4 1.2.2 Mode gadget...4 1.2.3 Mode graphique... 1 Démarrer... 3 1.1 L écran Isis...3 1.2 La boite à outils...3 1.2.1 Mode principal... 4 1.2.2 Mode gadget...4 1.2.3 Mode graphique... 4 2 Quelques actions... 5 2.1 Ouvrir un document existant...5 2.2

Plus en détail

Manuel BlueFolder ADMINISTRATION

Manuel BlueFolder ADMINISTRATION Version 1.0 Manuel BlueFolder administration Page - 1 - Manuel BlueFolder ADMINISTRATION Configuration Utilisation Version 1.0 Manuel BlueFolder administration Page - 2 - Manuel BlueFolder... 1 Description

Plus en détail

Manuel d'installation de GESLAB Client Lourd

Manuel d'installation de GESLAB Client Lourd Manuel d'installation GESLAB Client Lourd Référence Date de la dernière mise à jour Rédigé par Objet GESLAB_MINS_TECH_Manuel d'installation GESLAB Client 15/04/2013 Steria Manuel d'installation de GESLAB

Plus en détail

Mini_guide_Isis.pdf le 23/09/2001 Page 1/14

Mini_guide_Isis.pdf le 23/09/2001 Page 1/14 1 Démarrer...2 1.1 L écran Isis...2 1.2 La boite à outils...2 1.2.1 Mode principal...3 1.2.2 Mode gadgets...3 1.2.3 Mode graphique...3 2 Quelques actions...4 2.1 Ouvrir un document existant...4 2.2 Sélectionner

Plus en détail

sommaire Archives... Archiver votre messagerie... Les notes... Les règles de messagerie... Les calendriers partagés... 15 Les listes de diffusions...

sommaire Archives... Archiver votre messagerie... Les notes... Les règles de messagerie... Les calendriers partagés... 15 Les listes de diffusions... sommaire Votre solution de messagerie Futur Office évolue. Pour préparer au mieux la migration qui aura lieu le week-end du 23-24 Juin, nous vous conseillons de réaliser les actions préalables décrites

Plus en détail

Espace pro. Installation des composants avec Firefox. Pour. Windows XP Vista en 32 et 64 bits Windows 7 en 32 et 64 bits

Espace pro. Installation des composants avec Firefox. Pour. Windows XP Vista en 32 et 64 bits Windows 7 en 32 et 64 bits Espace pro Installation des composants avec Firefox Pour Windows XP Vista en 32 et 64 bits Windows 7 en 32 et 64 bits Version 2.0.3 1 Sommaire 1. Installation du composant de lecture de la carte Vitale

Plus en détail

Guide d installation CLX.PayMaker Office (3PC)

Guide d installation CLX.PayMaker Office (3PC) Guide d installation CLX.PayMaker Office (3PC) Sommaire 1. Installation et reprise des données... 2 2. Premiers pas établir une connexion au compte bancaire et recevoir des informations de compte... 4

Plus en détail

GUIDE Excel (version débutante) Version 2013

GUIDE Excel (version débutante) Version 2013 Table des matières GUIDE Excel (version débutante) Version 2013 1. Créer un nouveau document Excel... 3 2. Modifier un document Excel... 3 3. La fenêtre Excel... 4 4. Les rubans... 4 5. Saisir du texte

Plus en détail

The Grid 2: Manuel d utilisation

The Grid 2: Manuel d utilisation The Grid 2: Manuel d utilisation Bienvenue dans The Grid 2 Merci d avoir choisi The Grid 2! Ce guide va vous apprendre tout ce que vous devez savoir à propos de The Grid 2. Il vous guidera pas à pas pour

Plus en détail

RECOPLUS LOGICIEL DE GESTION DES RECOMMANDES NOTICE D UTILISATION DE RECOPLUS RESEAU. N de série

RECOPLUS LOGICIEL DE GESTION DES RECOMMANDES NOTICE D UTILISATION DE RECOPLUS RESEAU. N de série RECOPLUS LOGICIEL DE GESTION DES RECOMMANDES NOTICE D UTILISATION DE RECOPLUS RESEAU N de série Siège social 107, rue Henri Barbusse BP305-92111 CLICHY Cedex 1 Sommaire Description 1. Installation 2. Mise

Plus en détail

Installation d un ordinateur avec reprise des données

Installation d un ordinateur avec reprise des données Installation d un ordinateur avec reprise des données FAQ de Support technique Catégorie: Technique->Nouvelle installation Problématique : Vous devez remplacer un ordinateur existant par un nouvel ordinateur.

Plus en détail

Sélection du contrôleur

Sélection du contrôleur Démo CoDeSys - 1 - 1. Configuration de l environnement de travail : Lancer le logiciel CoDeSys Fichier Nouveau Lors de la première utilisation, une boîte de dialogue apparaît permettant la sélection du

Plus en détail

Guide d installation des licences Solid Edge-NB RB-18-09-2012

Guide d installation des licences Solid Edge-NB RB-18-09-2012 Ce document vous guide dans la gestion, l installation et la mise à jour des licences Solid Edge. Contenu Les types de licences...2 Le site GTAC : support.ugs.com...3 Création d un compte GTAC...3 Identifiant

Plus en détail

MANUEL DRIVELINK DRIVELINK

MANUEL DRIVELINK DRIVELINK MNUEL XX Table des matières 1. Fonctionnalités 1 2. Pièces et accessoires 1 3. Coup d œil sur le périphérique 2 4. Installer le matériel 3 5. Installer le logiciel 4 6. Le logiciel de sauvegarde 4 6.1

Plus en détail

Initiation à LabView : Les exemples d applications :

Initiation à LabView : Les exemples d applications : Initiation à LabView : Les exemples d applications : c) Type de variables : Créer un programme : Exemple 1 : Calcul de c= 2(a+b)(a-3b) ou a, b et c seront des réels. «Exemple1» nom du programme : «Exemple

Plus en détail

CONFIGURATION DE L AUTOMATE SIEMENS

CONFIGURATION DE L AUTOMATE SIEMENS CONFIGURATION DE L AUTOMATE SIEMENS Créer un projet Dans le bureau de Windows, double-cliquer sur l icône «SIMATIC Manager» : Cliquer ensuite sur l icône «nouveau» : Choisir un nom de projet et valider

Plus en détail

SCL LOGICIEL DE CONTROL

SCL LOGICIEL DE CONTROL SCL LOGICIEL DE CONTROL Version 1.3 MRC AUDIO LD- 500 www.mrcaudio.com 1 Contenu 1 - Bienvenu a MRC AUDIO SCL v1.3 2 - Installation du logiciel 3 - Configuration du programme SCL 4 - Contrôle des installations

Plus en détail

Formation. Module WEB 4.1. Support de cours

Formation. Module WEB 4.1. Support de cours Formation Module WEB 4.1 Support de cours Rédacteur Date de rédaction F.CHEA 08/02/2012 Les informations contenues dans ce document pourront faire l'objet de modifications sans préavis Sauf mention contraire,

Plus en détail

Un outil open source de gestion de bibliographies

Un outil open source de gestion de bibliographies Un outil open source de gestion de bibliographies Généralités... 2 Installation... 2 L interface zotero... 2 Ajouter une notice bibliographique manuellement... 4 Modifier ses notices bibliographiques...

Plus en détail

Mon aide mémoire traitement de texte (Microsoft Word)

Mon aide mémoire traitement de texte (Microsoft Word) . Philippe Ratat Mon aide mémoire traitement de texte (Microsoft Word) Département Ressources, Technologies et Communication Décembre 2006. Sommaire PRÉSENTATION DU DOCUMENT 1 Objectif principal 1 Deux

Plus en détail

Connecteur Zimbra pour Outlook 2007 et 2010 (ZCO) w

Connecteur Zimbra pour Outlook 2007 et 2010 (ZCO) w w Procédure Messagerie Outlook 2007 et 2010 Solutions informatiques Connecteur Zimbra pour Microsoft Outlook 2007 et 2010 (ZCO) 1/46 SOMMAIRE 1 Introduction... 3 2 Compatibilité... 3 2.1 Versions supportées

Plus en détail

Ce document décrit la démarche à suivre pour installer les outils de développement et compiler le projet TANAGRA.

Ce document décrit la démarche à suivre pour installer les outils de développement et compiler le projet TANAGRA. Guide de compilation de TANAGRA Ce document décrit la démarche à suivre pour installer les outils de développement et compiler le projet TANAGRA. Remarque : La version de TANAGRA distribuée sur le site

Plus en détail

Correction des Travaux Pratiques Organiser son espace de travail

Correction des Travaux Pratiques Organiser son espace de travail Module 01 Correction des Travaux Pratiques Organiser son espace de travail Correction de l exercice N 1 Organiser son espace de travail Objectif de l exercice : 1. Comprendre le dock 2. Afficher les règles

Plus en détail

hager Logiciel PC pour configurateur Radio Tebis TX 100 Manuel d utilisation 6T7266

hager Logiciel PC pour configurateur Radio Tebis TX 100 Manuel d utilisation 6T7266 hager Logiciel PC pour configurateur Radio Tebis TX 100 Manuel d utilisation 6T7266 Sommaire général Avant Propos...2 Vue Synthétique...3 1 Raccourcis clavier...3 2 Décomposition des écrans...3 3 Icônes...4

Plus en détail

NETWORK & SOFTWARE ENGINEERING MANUEL D UTILISATEUR. Logiciel TIJARA. NETWORK AND SOFTWARE ENGINEERING Manuel d'utilisateur "TIJARA" 1

NETWORK & SOFTWARE ENGINEERING MANUEL D UTILISATEUR. Logiciel TIJARA. NETWORK AND SOFTWARE ENGINEERING Manuel d'utilisateur TIJARA 1 NETWORK & SOFTWARE ENGINEERING MANUEL D UTILISATEUR Logiciel TIJARA Manuel d'utilisateur "TIJARA" 1 SOMMAIRE Introduction Première partie Chapitre 1 : Installation et démarrage Chapitre 2 : Architecture

Plus en détail

Mini_guide_Isis_v6.doc le 10/02/2005 Page 1/15

Mini_guide_Isis_v6.doc le 10/02/2005 Page 1/15 1 Démarrer... 2 1.1 L écran Isis... 2 1.2 Les barres d outils... 3 1.2.1 Les outils d édition... 3 1.2.2 Les outils de sélection de mode... 4 1.2.3 Les outils d orientation... 4 2 Quelques actions... 5

Plus en détail

Modes Opératoires WinTrans Mai 13 ~ 1 ~

Modes Opératoires WinTrans Mai 13 ~ 1 ~ Modes Opératoires WinTrans Mai 13 ~ 1 ~ Table des matières Facturation... 2 Tri Filtre... 2 Procédures facturation... 3 Transfert Compta... 8 Création d un profil utilisateur... Erreur! Signet non défini.

Plus en détail

Guide d installation UNIVERSALIS 2016

Guide d installation UNIVERSALIS 2016 Guide d installation UNIVERSALIS 2016 (Windows) Nous vous recommandons de lire ce document avant de commencer l installation d UNIVERSALIS 2016 sur Windows. Vous y trouverez la description de la procédure

Plus en détail

Menu Fédérateur. Procédure de réinstallation du logiciel EIC Menu Fédérateur d un ancien poste vers un nouveau poste

Menu Fédérateur. Procédure de réinstallation du logiciel EIC Menu Fédérateur d un ancien poste vers un nouveau poste Menu Fédérateur Procédure de réinstallation du logiciel EIC Menu Fédérateur d un ancien poste vers un nouveau poste Manipulations à réaliser sur le poste à désinstaller 1. Sauvegarde des données Dans le

Plus en détail

Gestion des références bibliographiques. Comment simplifier la gestion des références bibliographiques?

Gestion des références bibliographiques. Comment simplifier la gestion des références bibliographiques? Gestion des références bibliographiques Comment simplifier la gestion des références bibliographiques? Objectifs de la formation Créer votre base de données personnelle de références bibliographiques.

Plus en détail

Tapez le titre de la page «BASTIA ville méditerranéenne», puis allez deux fois à la ligne à l aide de la touche Entrée.

Tapez le titre de la page «BASTIA ville méditerranéenne», puis allez deux fois à la ligne à l aide de la touche Entrée. Créer un site Internet à l aide du logiciel NVU Le logiciel NVU, permet l édition Wysiwyg (What You See, Is What You Get, ce que vous voyez, est ce que vous obtenez ) d un site internet. Vous rédigez le

Plus en détail

Utilisation de l outil lié à MBKSTR 9

Utilisation de l outil lié à MBKSTR 9 Utilisation de l outil lié à MBKSTR 9 Avec MBKSTR, vous disposez d un outil fonctionnant sous Excel (version 97 au minimum) et permettant de faire les études financières suivantes : Aide à la vente avec

Plus en détail

CAPTURE DES PROFESSIONNELS

CAPTURE DES PROFESSIONNELS CAPTURE DES PROFESSIONNELS 2 Téléchargement 3 Installation 8 Prise en main rapide 10 Lancement Recherche 14 Gestion des fichiers 23 Compare et Sépare 24 Fichiers annexes 2/ Le menu Utilitaires 32 Internet

Plus en détail

Mes documents Sauvegardés

Mes documents Sauvegardés Mes documents Sauvegardés Guide d installation et Manuel d utilisation du logiciel Edition 13.12 Photos et illustrations : Copyright 2013 NordNet S.A. Tous droits réservés. Toutes les marques commerciales

Plus en détail

Salle Jupiter. Fonctionnement.

Salle Jupiter. Fonctionnement. Salle Jupiter. Fonctionnement. Salle Jupiter ainsi que dans toutes les salles informatiques de l UCBN l accès aux postes informatiques et à Internet est contrôlé par la Direction du Système d Information

Plus en détail

Caisses Sociales de Monaco - Déclarations de Salaires DIDACTICIEL. Version 3.2

Caisses Sociales de Monaco - Déclarations de Salaires DIDACTICIEL. Version 3.2 Caisses Sociales de Monaco - Déclarations de Salaires DIDACTICIEL Version 3.2 Table des matières Les différentes étapes 1 Saisie des déclarations du mois courant...2 Saisie...2 Insertion de lignes...5

Plus en détail

ANNEXE 8 : Le Mailing

ANNEXE 8 : Le Mailing 430/523 Lancer un mailing Présentation de l écran du mailing Aller dans Communication puis sélectionner «Mailing» Cet écran apparaît 431/523 Définition des zones Choix du type de Mailing 1 Mailing Courrier

Plus en détail

ARDUINO DOSSIER RESSOURCE POUR LA CLASSE

ARDUINO DOSSIER RESSOURCE POUR LA CLASSE ARDUINO DOSSIER RESSOURCE POUR LA CLASSE Sommaire 1. Présentation 2. Exemple d apprentissage 3. Lexique de termes anglais 4. Reconnaître les composants 5. Rendre Arduino autonome 6. Les signaux d entrée

Plus en détail

2012 - Copyright Arsys Internet E.U.R.L. Arsys Backup Online. Guide de l utilisateur

2012 - Copyright Arsys Internet E.U.R.L. Arsys Backup Online. Guide de l utilisateur Arsys Backup Online Guide de l utilisateur 1 Index 1. Instalation du Logiciel Client...3 Prérequis...3 Installation...3 Configuration de l accès...6 Emplacement du serveur de sécurité...6 Données utilisateur...6

Plus en détail

Guide d installation UNIVERSALIS 2014

Guide d installation UNIVERSALIS 2014 Guide d installation UNIVERSALIS 2014 (Windows) Nous vous recommandons de lire ce document avant de commencer l installation d UNIVERSALIS 2014 sur Windows. Vous y trouverez la description de la procédure

Plus en détail

Manipulations du laboratoire

Manipulations du laboratoire Manipulations du laboratoire 1 Matériel Les manipulations de ce laboratoire sont réalisées sur une carte électronique comprenant un compteur 4-bit asynchrone (74LS93) avec possibilité de déclenchement

Plus en détail

Consignes générales :

Consignes générales : PROCÉDURE POUR DÉPÔT DANS WEBCT Consignes générales : 1) Il est important de toujours conserver une copie de votre Webfolio ou Dossier professionnel sur votre disquette, clé USB ou sur votre disque dur

Plus en détail

Le langage C. Séance n 4

Le langage C. Séance n 4 Université Paris-Sud 11 Institut de Formation des Ingénieurs Remise à niveau INFORMATIQUE Année 2007-2008 Travaux pratiques d informatique Le langage C Séance n 4 But : Vous devez maîtriser à la fin de

Plus en détail

Chaque ordinateur est constitué de différentes unités de stockage de données (Disque dur, Graveur ) que l on peut imaginer comme de grandes armoires.

Chaque ordinateur est constitué de différentes unités de stockage de données (Disque dur, Graveur ) que l on peut imaginer comme de grandes armoires. Chaque ordinateur est constitué de différentes unités de stockage de données (Disque dur, Graveur ) que l on peut imaginer comme de grandes armoires. Il est important de savoir les identifier, de connaître

Plus en détail

Création du projet : 1 sur 13

Création du projet : 1 sur 13 Prise en main rapide de Vijéo Designer 5.0 Configuration de la communication API et pupitre sur Ethernet page1 API et pupitre sur Série page3 XBTGT1130 avec liaison ETHERNET API et PC Création du projet

Plus en détail

ZOTERO Un outil gratuit de gestion de bibliographies

ZOTERO Un outil gratuit de gestion de bibliographies ZOTERO Un outil gratuit de gestion de bibliographies Téléchargement sur http://www.zotero.org Zotero est une extension du navigateur Web Firefox 0 Mais désormais applications autonomes par rapport à Firefox

Plus en détail

Leçon N 5 PICASA Généralités

Leçon N 5 PICASA Généralités Leçon N 5 PICASA Généralités Avec cette leçon N 5, nous allons commencer l étude du traitement de vos photos avec le logiciel de GOOGLE, PICASA, que vous avez téléchargé dans la leçon N 3. 1 Présentation

Plus en détail

Installation et utilisation de Cobian Backup 8

Installation et utilisation de Cobian Backup 8 Installation et utilisation de Cobian Backup 8 Dernière mise à jour le 25/09/2007 Version utilisée : 8.4.0.198 Version du document : 1.0 Page 1 sur 12 SOMMAIRE 1. Téléchargement 2. Installation 3. Mise

Plus en détail

Documentation Liste des changements apportés

Documentation Liste des changements apportés Version 4.1.000 Documentation Liste des changements apportés C2 Innovations 2010 Table des matières À LA VERSION 4.1... 4 NOUVEAU PRE REQUIS POUR L INSTALLATION DE C2... 4 FERMER LA SESSION D UN UTILISATEUR

Plus en détail

EndNote Web. Quick Reference Card THOMSON SCIENTIFIC

EndNote Web. Quick Reference Card THOMSON SCIENTIFIC THOMSON SCIENTIFIC EndNote Web Quick Reference Card Web est un service Internet conçu pour aider les étudiants et les chercheurs dans leur processus d écriture de documents de recherche. ISI Web of Knowledge,

Plus en détail

Fiche Mémo : Options d accessibilité sous Windows et Internet Explorer 5

Fiche Mémo : Options d accessibilité sous Windows et Internet Explorer 5 Fiche Mémo : Options d accessibilité sous Windows et Internet Explorer 5 I. Général II. Affichage : taille icônes et écran III. Le menu «Options d accessibilité» : contraste, curseur IV. Le clavier V.

Plus en détail

ALLIANZ MODE OPERATOIRE DE MIGRATION D UNE AGENCE WINDOWS 7. 29 Août 2014. Version du document : 010

ALLIANZ MODE OPERATOIRE DE MIGRATION D UNE AGENCE WINDOWS 7. 29 Août 2014. Version du document : 010 ALLIANZ MODE OPERATOIRE DE MIGRATION D UNE AGENCE WINDOWS 7 29 Août 2014 Version du document : 010 0/31 Version du document : 010 29 Août 2014 HISTORIQUE DES EVOLUTIONS Version date paragraphe action nature

Plus en détail

WinTask x64 Le Planificateur de tâches sous Windows 7 64 bits, Windows 8/8.1 64 bits, Windows 2008 R2 et Windows 2012 64 bits

WinTask x64 Le Planificateur de tâches sous Windows 7 64 bits, Windows 8/8.1 64 bits, Windows 2008 R2 et Windows 2012 64 bits WinTask x64 Le Planificateur de tâches sous Windows 7 64 bits, Windows 8/8.1 64 bits, Windows 2008 R2 et Windows 2012 64 bits Manuel d initiation du Planificateur 2 INTRODUCTION 5 CHAPITRE I : INSTALLATION

Plus en détail

IP Remote Controller

IP Remote Controller 4-450-999-22 (1) IP Remote Controller Guide de configuration du logiciel RM-IP10 Setup Tool Version du logiciel 1.1.0 2012 Sony Corporation Table des matières Introduction... 3 Préparation de votre ordinateur...

Plus en détail

Numérisation. Copieur-imprimante WorkCentre C2424

Numérisation. Copieur-imprimante WorkCentre C2424 Numérisation Ce chapitre contient : «Numérisation de base», page 4-2 «Installation du pilote du scanner», page 4-4 «Réglage des options de numérisation», page 4-5 «Récupération des images», page 4-11 «Gestion

Plus en détail

Universalis 2013. Guide d installation. Sommaire

Universalis 2013. Guide d installation. Sommaire Guide d installation Universalis 2013 Nous vous recommandons de lire ce document avant de commencer l installation d UNIVERSALIS 2013 sur Windows. Vous y trouverez la description de la procédure d installation,

Plus en détail

Manuel de formation Spaceman 1 ère journée

Manuel de formation Spaceman 1 ère journée Manuel de formation Spaceman 1 ère journée Table des Matières Présentation des barres d outils et des icônes...4 Présentation de l espace de travail...10 1 ère PARTIE : CONSTRUIRE LE MOBILIER...11 La gondole

Plus en détail

MANUEL D UTILISATION TERMINAL PHL 2700

MANUEL D UTILISATION TERMINAL PHL 2700 MANUEL D UTILISATION TERMINAL PHL 2700 XL Soft - 16 Bd Charles de Gaulle Parc d'affaires les Moulinets- Bât C 44800 SAINT HERBLAIN Tel.: 02 51 80 77 88 Fax: 02 51 80 77 87 www.xlsoft.fr SOMMAIRE 1) Présentation

Plus en détail

Mode d Emploi. Résult Arc Logiciel de Gestion de Compétitions. Droits d utilisation Informations Générales. 1/. Présentation de Résult Arc

Mode d Emploi. Résult Arc Logiciel de Gestion de Compétitions. Droits d utilisation Informations Générales. 1/. Présentation de Résult Arc Résult Arc Logiciel de Gestion de Compétitions Mode d Emploi Droits d utilisation Informations Générales 1/. Présentation de Résult Arc 2/. Installation du logiciel 3/. Utilisation du logiciel 3.1/- Généralités

Plus en détail

1.1 L EXPLORATEUR WINDOWS

1.1 L EXPLORATEUR WINDOWS Gérer les fichiers et les dossiers Cette partie du T.P. a pour objectifs de vous familiariser avec les méthodes pour copier, déplacer, effacer, renommer des dossiers et des fichiers. 1.1 L EXPLORATEUR

Plus en détail

Rapports d activités et financiers par Internet. Manuel Utilisateur

Rapports d activités et financiers par Internet. Manuel Utilisateur Rapports d activités et financiers par Internet Manuel Utilisateur Table des matières 1. Introduction... 3 2. Pré requis... 3 3. Principe de fonctionnement... 3 4. Connexion au site Internet... 4 5. Remplir

Plus en détail

MANUEL UTILISATEUR SOPISAFE V 3.5

MANUEL UTILISATEUR SOPISAFE V 3.5 MANUEL UTILISATEUR SOPISAFE V 3.5 Sommaire PREMIERE PARTIE : INSTALLATION DE SOPISAFE... 3 INSTALLATION SOUS WINDOWS... 3 DEUXIEME PARTIE : L INTERFACE UTILISATEUR DE SOPISAFE V3.5... 5 PARAMETRAGE PAR

Plus en détail

INSTALLATION DBSWin 5.0.1 En réseau

INSTALLATION DBSWin 5.0.1 En réseau INSTALLATION DBSWin 5.0.1 En réseau Important : DBSWin 5.0.1 nécessite un lecteur de DVD et minimum 1 Go de RAM 1) PARTAGE DU DISQUE...page 2 2) OUVERTURE DES PORTS DU PARE-FEU WINDOWS...page 3 3) INSTALLATION

Plus en détail

Groupe Eyrolles, 2003, ISBN : 2-212-11317-X

Groupe Eyrolles, 2003, ISBN : 2-212-11317-X Groupe Eyrolles, 2003, ISBN : 2-212-11317-X 3 Création de pages dynamiques courantes Dans le chapitre précédent, nous avons installé et configuré tous les éléments indispensables à la mise en œuvre d une

Plus en détail

RACCOURCIS CLAVIERS. DEFINITION : Une «combinaison de touches» est un appui simultané sur plusieurs touches.

RACCOURCIS CLAVIERS. DEFINITION : Une «combinaison de touches» est un appui simultané sur plusieurs touches. S Vous n aimez pas la souris Les raccourcis clavier sont là pour vous faciliter la vie! INTRODUCTION : Vous avez du mal à vous habituer à la manipulation de la souris Des solutions existent : les raccourcis

Plus en détail

PACK ADSL WIFI. Configurer ma connexion ADSL avec Modem/Routeur Sagem F@st 1400W

PACK ADSL WIFI. Configurer ma connexion ADSL avec Modem/Routeur Sagem F@st 1400W PACK ADSL WIFI Configurer ma connexion ADSL avec Modem/Routeur Sagem F@st 1400W Installation du Pack Wi-Fi : Vous devez Installer votre clé Wi-Fi avant d installer votre modem/routeur a. Installation de

Plus en détail

ESPACE COLLABORATIF SHAREPOINT

ESPACE COLLABORATIF SHAREPOINT Conseil de l Europe Service des Technologies de l Information ESPACE COLLABORATIF SHAREPOINT DOSSIER D UTILISATEUR 1/33 Sommaire 1. Présentation de SharePoint... 3 1.1. Connexion... 4 2. Les listes...

Plus en détail

Suivant les windows, le signal pour indiquer l imprimante par défaut est un petit rond noir ou vers avec un V à l intérieur.

Suivant les windows, le signal pour indiquer l imprimante par défaut est un petit rond noir ou vers avec un V à l intérieur. La gestion des Imprimantes sous Facile_Caisse : Vous pouvez utiliser plusieurs sortes d imprimante avec le logiciel Facile_Caisse. Si vous possédez une imprimante classique au format A4, vous n aurez aucun

Plus en détail

INSERER DES OBJETS - LE RUBAN INSERTION... 3 TABLEAUX

INSERER DES OBJETS - LE RUBAN INSERTION... 3 TABLEAUX TABLE DES MATIERES Livret Utilisateur Excel 2007 Niveau 2 INSERER DES OBJETS - LE RUBAN INSERTION... 3 TABLEAUX... 4 Les tableaux croisés dynamiques... 4 Création d un tableau croisé... 5 Comparer des

Plus en détail

EVOLUTION 7.1 Déroulement DREAM INFO 1 Dossier 23 Ciel Gestion Commerciale.

EVOLUTION 7.1 Déroulement DREAM INFO 1 Dossier 23 Ciel Gestion Commerciale. 1. Mission 1 : Créer la société et faire son paramétrage :...3 1.1. Création d un nouveau dossier :...3 1.2. Paramétrage des Barres d outils :...6 1.3. Paramétrage général de la Gestion Commerciale :...6

Plus en détail

Restauration d AdmiCash sur un nouveau PC ou système d exploitation

Restauration d AdmiCash sur un nouveau PC ou système d exploitation Restauration d AdmiCash sur un nouveau PC ou système d exploitation En cas d un changement de PC ou du système d exploitation, la question se pose, comment on restaure programmes et données sur le nouveau

Plus en détail

Installation du Logiciel de Configuration de l Agility sur Windows 64 bits

Installation du Logiciel de Configuration de l Agility sur Windows 64 bits Installation du Logiciel de Configuration de l Agility sur Windows 64 bits Ce Manuel rapide reprend les étapes à respecter pour installer le logiciel de Configuration de l Agility sur Windows 64 bits,

Plus en détail

Conception Systèmes numériques VHDL et synthèse automatique des circuits

Conception Systèmes numériques VHDL et synthèse automatique des circuits Année 2008-2009 Conception Systèmes numériques VHDL et synthèse automatique des circuits Travaux pratiques Pentium4 Présentation du simulateur VHDL sous environnement Cadence Présentation de l outil Synopsys

Plus en détail

Tutorial pour l installation et l utilisation de CREO et de Windchill https://www.windchilleducation.eu/windchill/

Tutorial pour l installation et l utilisation de CREO et de Windchill https://www.windchilleducation.eu/windchill/ Tutorial pour l installation et l utilisation de CREO et de Windchill https://www.windchilleducation.eu/windchill/ Conseil: Pour accéder rapidement au paragraphe souhaité ci-dessous, faites CRTL+Clic sur

Plus en détail

Cliquez sur le site que vous souhaitez consulter, il s affichera directement dans le navigateur.

Cliquez sur le site que vous souhaitez consulter, il s affichera directement dans le navigateur. GERER SES FAVORIS AVEC INTERNET EXPLORER Un favori est un marque-page virtuel qui permet de mémoriser l'adresse URL d'une page web. Cette adresse est donc enregistrée par le logiciel de navigation. Un

Plus en détail

Once the installation is complete, you can delete the temporary Zip files..

Once the installation is complete, you can delete the temporary Zip files.. Sommaire Installation... 2 After the download... 2 From a CD... 2 Access codes... 2 DirectX Compatibility... 2 Using the program... 2 Structure... 4 Lier une structure à une autre... 4 Personnaliser une

Plus en détail

Installation et configuration du logiciel BauBit

Installation et configuration du logiciel BauBit Installation et configuration du logiciel BauBit Version Windows 2013 Version Date Description 1.0 11.2011 Gabriel Python 2.0 01.2013 Gabriel Python 3.0 09.2013 Gabriel Python 1 1 Configuration avant installation

Plus en détail

Avertissement : Nos logiciels évoluent rendant parfois les nouvelles versions incompatibles avec les anciennes.

Avertissement : Nos logiciels évoluent rendant parfois les nouvelles versions incompatibles avec les anciennes. ENVOI EN NOMBRE DE SMS La version SMS de Ditel vous permet d'envoyer vos SMS de façon automatique. L'importation de vos données se fait directement au format Excel Avertissement : Nos logiciels évoluent

Plus en détail

EXCEL PERFECTIONNEMENT SERVICE INFORMATIQUE. Version 1.0 30/11/05

EXCEL PERFECTIONNEMENT SERVICE INFORMATIQUE. Version 1.0 30/11/05 EXCEL PERFECTIONNEMENT Version 1.0 30/11/05 SERVICE INFORMATIQUE TABLE DES MATIERES 1RAPPELS...3 1.1RACCOURCIS CLAVIER & SOURIS... 3 1.2NAVIGUER DANS UNE FEUILLE ET UN CLASSEUR... 3 1.3PERSONNALISER LA

Plus en détail

Guide de l utilisateur ArpentGIS-Trajet 1.2 ArpentGIS-Expert 1.4

Guide de l utilisateur ArpentGIS-Trajet 1.2 ArpentGIS-Expert 1.4 D3E ELECTRONIQUE Copyright D3E Electronique SAS 2010 Guide de l utilisateur ArpentGIS-Trajet 1.2 ArpentGIS-Expert 1.4 D3E Electronique Parc du Grand Troyes - 3 Rond-point Winston Churchill - 10302 SAINTE

Plus en détail

ScolaStance V6 Manuel vie scolaire

ScolaStance V6 Manuel vie scolaire ScolaStance V6 Manuel vie scolaire Sommaire INTRODUCTION... 5 ACCES A L ENT... 5 Modification du mot de passe temporaire... 6 Mot de passe oublié... 6 PERSONNALISATION DE LA PAGE D ACCUEIL... 7 Editer

Plus en détail

Table des Matières. Présentation... 1. Installation... 2 Mise en route... 4. Les Icones... 5 Les paramètres

Table des Matières. Présentation... 1. Installation... 2 Mise en route... 4. Les Icones... 5 Les paramètres Table des Matières Avant Propos Présentation... 1 Installation et Mise en Route Installation... 2 Mise en route... 4 Les Icones... 5 Les paramètres Configuration générale... 9 Tarifs/TVA... 10 Salariés...

Plus en détail

Installation et paramétrage. Accès aux modèles, autotextes et clip- art partagés

Installation et paramétrage. Accès aux modèles, autotextes et clip- art partagés DSI Documentation utilisateurs Installation et paramétrage Accès aux modèles, autotextes et clip- art partagés Auteur : Yves Crausaz Date : 21 septembre 2006 Version : 1.04 Glossaire OOo : Abréviation

Plus en détail

Mise en route de Cobian Backup

Mise en route de Cobian Backup 1 Mise en route de Cobian Backup L installation est un peu longue mais vous n aurez à la faire qu une seule et unique fois. Après tout se déroulera sans aucune intervention de votre part. 1. Installation

Plus en détail

ENVOI EN NOMBRE DE MESSAGES AUDIO

ENVOI EN NOMBRE DE MESSAGES AUDIO ENVOI EN NOMBRE DE MESSAGES AUDIO 2 Téléchargement 3 Installation 7 Ecran d accueil 12 Importation d un fichier d adresses à partir d Excel 15 Création des messages téléphoniques 17 Création du planning

Plus en détail

Contrôleur de communications réseau. Guide de configuration rapide DN1657-0606

Contrôleur de communications réseau. Guide de configuration rapide DN1657-0606 K T - N C C Contrôleur de communications réseau Guide de configuration rapide DN1657-0606 Objectif de ce document Ce Guide de configuration rapide s adresse aux installateurs qui sont déjà familiers avec

Plus en détail

La Clé informatique. Formation Internet Explorer Aide-mémoire

La Clé informatique. Formation Internet Explorer Aide-mémoire La Clé informatique Formation Internet Explorer Aide-mémoire Novembre 2003 Table des matières Novembre 2003...i Configuration d Internet Explorer... 1 Internet Explorer 6... 5 Gestion des Raccourcies...

Plus en détail

Securexam Consignes pour l EFU Les 2, 3 et 4 juin 2015

Securexam Consignes pour l EFU Les 2, 3 et 4 juin 2015 Securexam Consignes pour l EFU Les 2, 3 et 4 juin 2015 ATTENTION : Consignes aux candidats qui doivent encrypter leur clé USB : Une fois votre ordinateur démarré, avant de lancer Securexam (CA), procédez

Plus en détail

LOGICIEL DC4D MONITOR

LOGICIEL DC4D MONITOR THQtronic LOGICIEL DC4D MONITOR La communication entre PC et DC4D ne peut se faire qu à l aide du câble de liaison USB-TTL de FTDI référence TTL-232R-5V-AJ vendu en option. DC4D Monitor est la même application

Plus en détail

I) INTRODUCTION... 3 II) ENREGISTREMENT DE SON TYROS 5... 4

I) INTRODUCTION... 3 II) ENREGISTREMENT DE SON TYROS 5... 4 Yamaha Music Europe - 0 Table des matières I) INTRODUCTION... II) ENREGISTREMENT DE SON TYROS 5... ère étape : Création d un compte (non nécessaire si vous possédez déjà un compte Yamaha).. ème étape :

Plus en détail

Documentation Technique du programme HYDRONDE_LN

Documentation Technique du programme HYDRONDE_LN Documentation Technique du programme HYDRONDE_LN Réalisation du programme H.GUYARD Réalisation du matériel électronique C.COULAUD & B.MERCIER Le programme HYDRONDE_LN est un programme qui permet de visualiser

Plus en détail

MANUEL D UTILISATION - Précis Poste de Traitement d Images 1 - Déconvolution

MANUEL D UTILISATION - Précis Poste de Traitement d Images 1 - Déconvolution Service Commun de Microscopie MANUEL D UTILISATION - Précis Poste de Traitement d Images 1 - Déconvolution Version 1 Précis Février 2015 SERVICE COMMUN DE MICROSCOPIE MANUEL D UTILISATION Poste de Traitement

Plus en détail

Ladibug TM 2.0 Logiciel de présentation visuel d'image Manuel de l utilisateur - Français

Ladibug TM 2.0 Logiciel de présentation visuel d'image Manuel de l utilisateur - Français Ladibug TM 2.0 Logiciel de présentation visuel d'image Manuel de l utilisateur - Français Table des Matières 1. Introduction... 2 2. Spécifications du Système... 2 3. Installer Ladibug... 3 4. Connexion

Plus en détail

SUGARCRM MODULE RAPPORTS

SUGARCRM MODULE RAPPORTS SUGARCRM MODULE RAPPORTS Référence document : SYNOLIA_Support_SugarCRM_Module_Rapports_v1.0.docx Version document : 1.0 Date version : 2 octobre 2012 Etat du document : En cours de rédaction Emetteur/Rédacteur

Plus en détail

Gestion des documents avec ALFRESCO

Gestion des documents avec ALFRESCO Gestion des documents avec ALFRESCO 1 INTRODUCTION : 2 1.1 A quoi sert ALFRESCO? 2 1.2 Comment s en servir? 2 2 Créer d un site collaboratif 3 2.1 Créer le site 3 2.2 Inviter des membres 4 3 Accéder à

Plus en détail

Manuel d utilisation. Anne RACINE. A. Racine Référence: Manuel MS Project.doc 03/03/2003 Page 1

Manuel d utilisation. Anne RACINE. A. Racine Référence: Manuel MS Project.doc 03/03/2003 Page 1 RÉALISATION DE PLANNINGS - SUIVI ET PERSONNALISATION Manuel d utilisation Anne RACINE A. Racine Référence: Manuel MS Project.doc 03/03/2003 Page 1 Réalisation de plannings SOMMAIRE GESTION DE PROJET :

Plus en détail