Systèmes à microprocesseurs. La liaison série RS232 Utilisation de l'eusart

Dimension: px
Commencer à balayer dès la page:

Download "Systèmes à microprocesseurs. La liaison série RS232 Utilisation de l'eusart"

Transcription

1 Systèmes à microprocesseurs La liaison série RS232 Utilisation de l'eusart 1

2 Généralités Transmissions série et parallèle La communication entre 2 systèmes peut se faire soit de manière parallèle, soit de manière série. La communication série est très importante dans le domaine de la télécommunication et plus généralement dans le transfert d informations. 2

3 Généralités Transmissions série et parallèle Contrairement au bus parallèle où plusieurs bits sont transmis simultanément, dans les bus série, les bits sont envoyés les uns à la suite des autres. 3

4 Généralités Intérêts d'une liaison série Moins de cablage (- de cuivre donc - cher) Pas de perturbation entre pistes Débits plus élevés Distances de communication plus importantes 4

5 Transmissions séries Au minimum, 2 fils sont nécessaires 1 fil de données 1 fil de référence (masse) D'autres fils peuvent être rajoutés. Deux critères permettent de définir une liaison série : L interface (ou support) physique de communication (câble, connexions) Le format de transfert des données de communication (protocole) 2 types : synchrone ou asynchrone 5

6 Transmissions séries asynchrones Les transmissions séries synchrones (transmission d'un signal d'horloge) seront vues au S3 (I2C). Les communications asynchrones sont définies par plusieurs paramètres : Les niveaux de tensions La vitesse de transmission (Baud Rate en anglais) Le format des données Le mode de fonctionnement Full-Duplex ou Half-Duplex Les interfaces physiques de communication peuvent être divers : Fils de cuivre, fibre optique, hertzien,... 6

7 Transmissions séries asynchrones Protocoles les plus courants : Norme RS232 Utilisée notamment pour la communication avec un PC (ports COM) Également utilisée dans de nombreux modules électroniques spécifiques (modules Zigbee, ) Norme RS485 Utilisée dans les applications où les perturbations sont importantes On en trouve sur les Automates Programmables Industriels... 7

8 Principe de transmission Dans une communication série RS232, les bits sont envoyés les uns à la suite des autres sur la ligne en commençant par le bit de poids faible. La transmission s appuie donc sur le principe des registres à décalage. La transmission se fait octet par octet. Liaison série asynchrone : pas d'horloge transmise Nécessité de rajouter un bit de START ('0' logique) avant l'octet à transmettre, et un bit de STOP ('1' logique) après l'octet à transmettre. La norme RS232 prévoit également la possibilité de rajouter un autre bit juste avant le bit de STOP : Bit de parité ou un 2 ème bit de STOP 8

9 Principe de transmission Au total, cela nous fait donc 10 ou 11 bits qui sont transmis au registre à décalage qui assure la transmission en commençant par le bit de poids faible. 9

10 Configuration de la liaison On dispose de registres de contrôle et d état. Le(s) registre(s) de contrôle permet(tent) de : Fixer le format de transmission (7, 8 ou 9 bits) Fixer le facteur de division de l horloge (Baud Rate) Fixer le test de parité Fixer le nombre de bits STOP Préciser le fonctionnement en interruption 10

11 Configuration de la liaison Le(s) registre(s) d'état(s) permet(tent) de savoir : Si une transmission est en cours Si une réception est terminée L état des lignes de contrôle L état des interruptions 11

12 Principe de la réception asynchrone Pas d'horloge transmise entre les 2 équipements les fréquences d'horloge de l'émetteur et du récepteur doivent être identiques Pour indiquer au récepteur le début d'une transmission, il faut lui envoyer un signal : C'est le rôle du bit de START La ligne au repos est à l'état logique '1' Le bit de START est donc logiquement à '0' 12

13 Principe de la réception asynchrone Le récepteur attend le premier front descendant de la ligne de données pour se synchroniser. Après une demi-période d horloge, il vient tester le bit. L intérêt est de pouvoir savoir s il s agit d un vrai bit de START (si le signal est toujours à 0 ) ou alors si on a eu du bruit sur la ligne qui aurait provoqué un faux bit de START (si le bit est remonté à 1 ). Ensuite, on échantillonne le nombre de bits de données (défini dans la configuration de la liaison série) à chaque période d horloge. Enfin, on teste le ou les bits STOP Si le bit est à 1, on a bien un bit STOP. Si le bit est à 0, on a un mauvais bit STOP. On parle d une erreur d encadrement (framing error). 13

14 Principe de la réception asynchrone Chronogramme type 14

15 Exemple de transmission On souhaite envoyer l'octet 0x32 (qui est le code ASCII du caractère '2'), sur 8 bits, sans parité avec 1 bit STOP. 0x32 = ( ) 2 15

16 Lignes de contrôle Ce sont les signaux additionnels aux lignes de données qui permettent de contrôler la communication. Différents signaux peuvent être échangés. 16

17 Lignes de contrôle Ces signaux peuvent être groupés de la manière suivante : Des signaux de transmission de données TXD (transmit data) : Données dans un sens RXD (receive data) : Données dans l'autre sens Des signaux de contrôle de flux de transmission RTS (request to send) : Demande à émettre CTS (clear to send) : Prêt à recevoir Ces signaux peuvent être utiles pour gérer l'échange du flux de données. Notamment, il permet d'indiquer si l'équipement destinataire est prêt à recevoir. Des références de potentiels (masse) 17

18 Vitesse de transmission des données Les deux équipements doivent être configurés avec la même vitesse (baud rate). Elle est exprimée est bits / seconde Ces vitesses sont normalisées : 1200 bauds (ou bits/seconde) 2400 bauds 4800 bauds 9600 bauds bauds bauds bauds bauds 18

19 Contrôle de flux Le rôle du contrôle de flux est de permettre d'éviter de perdre des informations pendant la transmission. Différents types de contrôle de flux : Contrôle de flux matériel Contrôle de flux logiciel 19

20 Contrôle de flux Contrôle de flux matériel : Il est possible de contrôler le flux de données entre deux équipements par deux lignes de «handshake» (poignée de main en français). Ces lignes sont RTS et CTS. Le principe de fonctionnement est le suivant : L'émetteur informe le récepteur qu'il est prêt à envoyer une donnée en agissant sur RTS et en le mettant à l'état bas. Le récepteur informe l'émetteur qu'il est prêt à recevoir en mettant le signal CTS à l'état bas. La transmission devient effective. Cette technique permet d'éviter d'envoyer des données quand le récepteur n'est pas prêt et donc permet d'éviter la perte d'information. 20

21 Contrôle de flux Contrôle de flux logiciel : Il est également possible de contrôler la transmission à l'aide de deux codes ASCII «XON» et «XOFF» : XOFF (code ASCII 17) : demande l'arrêt de la transmission XON (code ASCII 19) : demande le départ d'une transmission 21

22 Parité Le mot transmis peut être suivi ou non d'un bit de parité qui sert à détecter les erreurs éventuelles de transmission. Il existe deux types de parités : Parité paire Parité impaire 22

23 Parité paire Le bit ajouté à la donnée est positionné de telle façon que le nombre des états «1» soit paire sur l'ensemble donné + bit de parité. Exemple : soit la donnée contenant 5 états «1» le bit de parité paire est positionné à «1», ramenant ainsi le nombre de «1» à 6. 23

24 Parité impaire Le bit ajouté à la donnée est positionné de telle façon que le nombre des états «1» soit impaire sur l'ensemble donné + bit de parité. Exemple : soit la donnée contenant 5 états «1» le bit de parité impaire est positionné à «0», laissant ainsi un nombre de «1» impaire. 24

25 Bilan Pour que 2 équipements puissent échanger des données, il faut qu'ils soient configurés pour que : La vitesse de communication (baud rate) soit le même des deux côtés. Le nombre de bits de données soit identique Le nombre de bits STOP soit identique Le type de contrôle de flux choisit soit le même La parité soit la même 25

26 Interfaces physiques Il existe différentes interfaces physiques pour la liaison série, dont les normes : RS232 : Interface de liaison série présente sur les PCs (ports COM). RS422 : Interface mieux immunisée vis à vis du bruit. RS485 : Identique à la RS422 mais développée pour pouvoir connecter plus de deux appareils sur le même bus. 26

27 Interfaces physiques Le choix d'une interface dépend : de la vitesse de transmission souhaitée de la longueur du câble du nombre d'équipements que l'on souhaite connecter de l'immunité vis à vis des parasites 27

28 Interface RS232 Niveaux de tension Les 0-5V (voire moins) que l'on trouve généralement en sortie des microcontrôleurs est insuffisant pour transmettre les informations loin. En effet, sous l'effet de l'impédance de la ligne (inductances et capacités parasites), le signal est atténué. 28

29 Interface RS232 Niveaux de tension Afin de pouvoir envoyer les signaux plus loin, la liaison série RS232 transmet les informations sous des niveaux de tension plus élevés. Un niveau logique bas (0V) sera transmis à l'aide d'une tension de +10V. Un niveau logique haut (5V) sera transmis à l'aide d'une tension de -10V. Il y a donc INVERSION DES NIVEAUX LOGIQUE et ADAPTATION à +10V ET-10V 29

30 Interface RS232 Niveaux de tension Chronogrammes 30

31 Composant externe (MAX 232) Cet adaptation est très classiquement réalisée par le composant MAX 232 : 31

32 Composant externe (MAX 232) Ce composant est capable de générer à partir d'une alimentation Vcc de 5V, les tensions +10V et -10V. Avec une telle tension, il est possible de communiquer avec une liaison série RS232 à 9600 bauds jusqu'à 10m. Plus la distance sera grande, moins la vitesse de transmission sera rapide car les atténuations et les déformations des signaux seront plus importantes. 32

33 Composant externe (MAX 232) La carte PICDEM2+ utilisée en TP intègre déjà ce composant : 33

34 Connecteur La connexion se fait aujourd'hui généralement sur des connecteurs DB9. Le schéma de connexion est donné ci-dessous : 34

35 Évolution Depuis quelques années, les ordinateurs neufs ne sont plus pourvus par défaut de ports COM RS232. Il existe maintenant des cables USB permettant de continuer à utiliser des liaisons séries RS232. Ces cables intègrent un circuit intégré permettant de gérer le protocole USB d'un coté et la liaison RS232 de l'autre (puces FTDI) 35

36 Évolution Il existe par ailleurs des circuits permettant de passer à une liaison USB vers une liaison série à niveaux logiques TTL (0V - 5V) ou 0V 3,3V Cela permet de s'interfacer en USB directement avec un micro-contrôleur, sans passer par un étage +10V/-10V. 36

37 Mise en oeuvre de la liaison série avec le PIC 16F887 Le périphérique interne qui gère la liaison série asynchrone s'appelle EUSART : Enhanced Universal Synchronous Asynchronous Receiver Transmitter Ce périphérique repose sur des registres à décalages qui permettront d'envoyer et de recevoir les données. Ils sont au nombre de deux (un pour l'émission, l'autre pour la réception), ce qui autorise théoriquement le PIC à envoyer et recevoir des données simultanément. 37

38 Mise en oeuvre de la liaison série avec le PIC 16F887 Il est nécessaire de configurer la vitesse de transmission, le nombre de bits de données, la parité ou le deuxième bit STOP s'il y a lieu. Il faudra donc mettre la bonne valeur dans les registres de configuration de l'eusart. Il nous permettra également de savoir si une transmission est en cours, si elle s'est passée convenablement ou bien s'il y a eu une erreur. Ce sera le rôle du registre d'état. Enfin, nous aurons des registres pour écrire les données à envoyer ou lire les données reçues. 38

39 Mise en oeuvre de la liaison série avec le PIC 16F887 Ce périphérique dispose également de deux pattes de connexion qui permettront d'envoyer un message (RC6) ou bien de le recevoir (RC7). La configuration de ces pins se fait de la manière indiquée ci-dessous : Setting the SPEN bit of the RCSTA register enables the EUSART and automatically configures the TX/CK I/O pin as an output. If the TX/CK pin is shared with an analog peripheral the analog I/O function must be disabled by clearing the corresponding ANSEL bit. Setting the SPEN bit of the RCSTA register enables the EUSART and automatically configures the RX/DT I/O pin as an input. If the RX/DT pin is shared with an analog peripheral the analog I/O function must be disabled by clearing the corresponding ANSEL bit. 39

40 Mise en oeuvre de la liaison série avec le PIC 16F887 Si l'on souhaite se connecter sur un PC, il faudra penser à utiliser un composant (par exemple un MAX 232) pour générer un signal entre -10V et +10V. 40

41 Mise en oeuvre de la liaison série avec le PIC 16F887 Sur le kit PICDEM2+ : 41

42 Fonctionnement en transmission La figure 12-1 nous indique le fonctionnement de l'eusart en transmission : 42

43 Fonctionnement en transmission Le module d'envoi est basé sur un registre à décalage (TSR Register = «Transmit Shift Register»). On charge la valeur à transmettre sur 8 bits à l aide du registre TXREG 43

44 Fonctionnement en transmission L'écriture de TXREG dans TSR met à '1' le drapeau TXIF. Celui-ci est capable de générer une interruption si le bit TXIE est à '1'. Le registre à décalage ajoute tout seul le bit de START et de STOP. Le fait que le drapeau TXIF passe à '1' n indique pas que la donnée a été transmise, mais uniquement le fait que vous avez mis une donnée dans le registre TXREG. 44

45 Fonctionnement en transmission Le drapeau «TRMT» indique quand le registre à décalage est vide. C'est à dire quand le mot est complètement envoyé. Il est ainsi bien plus utile de tester le bit TRMT que le bit TXIF. 45

46 Fonctionnement en transmission La figure 12-3, nous donne les chronogrammes des bits TRMT et TXIF : 46

47 Fonctionnement en transmission Pour envoyer les données, il faut configurer l'horloge de transmission. Ceci se fait à l'aide du registre SPBRG (composé de 2 registres de 8 bits SPBRGH:SPBRG) qui divise la fréquence de l'horloge interne pour obtenir la bonne vitesse de transmission. La validation de cette horloge se fait par TXEN. L'horloge est la même pour les modules d'envoi et de réception. 47

48 Fonctionnement en transmission Les bits sérialisés sont envoyés vers la patte de sortie RC6/TX. Cette patte à la double fonction de servir de patte d'e/s standard ou de servir comme sortie d'envoi de la liaison série. La configuration entre ces deux modes de fonctionnement se fait grâce au bit SPEN. Lorsque le bit SPEN est égal à '1', les pattes RC6/TX et RC7/RX sont respectivement configurés automatiquement en sortie et en entrée. 48

49 Fonctionnement en transmission Il est possible d'envoyer un 9ème bit avant le bit de STOP (par exemple une parité ou un deuxième bit STOP). Il faut alors valider TX9 et inscrire la donnée du 9ème bit dans TX9D avant de procéder à une émission. 49

50 Fonctionnement en réception La figure 12-2 nous indique le fonctionnement de l EUSART en réception. Le module de réception est relativement similaire au module d'émission. Il est basé sur un registre à décalage de 11 bits (un START, 8 données, un 9ème bit et un STOP). 50

51 Fonctionnement en réception Tout comme le module d'émission, il dispose de l'horloge (SPBRGH:SPBRG) : Il scrute en permanence le signal qui arrive sur la patte RC7/RX. Il faut donc là aussi indiquer que cette patte sert à la liaison série grâce à SPEN. 51

52 Fonctionnement en réception La réception ne sera validée que lorsque le bit CREN sera correctement configuré. 52

53 Fonctionnement en réception Une fois le mot reçu correctement, le PIC stocke les 8 bits de données reçus dans le registre RCREG. Si on lui a validé un 9ème bit en réception à l'aide de RX9, il stockera sa valeur dans RX9D. En outre, la réception d'une donnée valide le bit RCIF. On peut alors générer une interruption si le bit RCIE est à '1'. Le module de réception peut en outre générer des erreurs si la transmission s'est mal passée. Il possède notamment les bits OERR (Overrun error) et FERR (Framing error). 53

54 Fonctionnement en réception 54

55 Fonctionnement en réception Chronogrammes 55

56 Les registres associés à la transmission 56

57 Les registres associés à la réception 57

58 Les registres Les registres TXREG et RCREG Vous placerez le mot à transmettre dans le registre TXREG. Lorsqu'un mot sera reçu, vous pourrez le lire dans le registre RCREG. Les registres INTCON, PIR1 et PIE1 Ces registres sont utilisés pour gérer la liaison RS 232 en interruption 58

59 Les registres Le registre PIR1 Ce registre contient les deux flags (drapeaux) permettant de : Détecter qu un mot a été reçu : bit RCIF Détecter qu un mot a été placé dans le registre de transmission : bit TXIF. Remarque : il existe un autre «flag» pour la transmission : TRMT. Le registre PIE1 Ce registre contient les deux bits permettant d'autoriser les interruptions en transmission (TXIE) et en réception (RCIE) 59

60 Les registres Les registres SPBRGH:SPBRG, le bit BRGH (du registre TXSTA) et le bit BRG16 (du registre BAUDCTL) Les registres SPBRGH et SPBRG permettent de configurer, avec le bit BRGH et le bit BRG16, la vitesse de transmission de la liaison série. 60

61 Les registres Par exemple, pour une transmission à 9600bauds, avec un microcontrôleur cadencé à 4MHz, nous obtenons (SYNC doit forcément être égal à 0): BRG16 = 0 et BRGH = 0 X = fosc /(baud rate * 64) -1 X = / (9600* 64) -1= 5,51 X forcément entier X = 6 Baud rate réel = Fosc / (64( X+1)) soit 8928,59 L erreur est donc de 6,99 % (calcul : ( )/9600)). 61

62 Les registres Par exemple, pour une transmission à 9600bauds, avec un microcontrôleur cadencé à 4MHz, nous obtenons (SYNC doit forcément être égal à 0): BRG16 = 0 et BRGH = 1 X = fosc /(baud rate * 16) -1 X = / (9600* 16) -1= 25,041 X forcément entier X = 25 Baud rate réel = Fosc / (16( X+1)) soit 9615,38 L erreur est donc de 0,16 % (calcul : ( )/9600)). 62

63 Les registres On choisira la valeur afin de minimiser l'erreur commise sur la transmission. Des tableaux (pages 168 et 169 de la doc) permettent de trouver rapidement les valeurs à mettre dans SPBRGH:SPBRG et BRGH en fonction : de la valeur du débit de transmission de la fréquence du quartz du PIC de la valeur du bit BRGH de la valeur du bit BRG16 Remarque : la plupart du temps, il sera suffisant de travailler avec un registre SPBRG sur 8 bits (BRG16 = 0 et utilisation de SPBRG uniquement). En effet, la nécessité de travailler sur 16 bits s'impose uniquement pour obtenir de faibles vitesses de transmission avec un quartz de fréquence élevée. 63

64 64

65 65

66 Les registres de contrôle et d'état Il nous reste plusieurs choses à configurer : Choix du type de transmission Asynchrone ou synchrone. Le bit SYNC du registre TXSTA permet de choisir le type de fonctionnement: '1' : mode synchrone '0' : mode asynchrone Pour une communication de type RS232 nous prendrons le mode asynchrone. 66

67 Les registres de contrôle et d'état Validation des lignes RC6 et RC7 comme broches d entrée / sortie associées à L EUSART : bit SPEN du registre RCSTA '1' : port série validé '0' : port série invalidé Remarque : le fait de configurer ce bit à 1, place automatiquement la ligne de transmission en sortie et la ligne de réception en entrée. Sélection du baud rate pour le mode asynchrone (Permet de fixer la vitesse de transmission en lien avec le registre SPBRG). Bit BRGH du registre TXSTA '1' : mode High Speed '0' : mode Low Speed 67

68 Les registres de contrôle et d'état Bits de marche/arrêt : La réception est validée en plaçant un '1' dans le bit CREN du registre RCSTA. La transmission est validée en plaçant un '1' dans le bit TXEN du registre TXSTA. Transmission de 8 bits ou de 9 bits : Le bit TX9 du registre TXSTA permet de valider une transmission de 8 bits ou de 9 bits '1' : transmission de 9 bits. '0' : transmission de 8 bits. En mode 9 bits, il faut placer le 9ème bit dans le bit TX9D du registre TXSTA. En mode 8 bits, le bit TX9D peut prendre n importe quelle valeur. 68

69 Les registres de contrôle et d'état Réception de 8 bits ou de 9 bits. Le bit RX9 du registre RCSTA permet de valider une réception de 8bits ou de 9 bits '1' : réception de 9 bits '0' : réception de 8 bits En mode 9 bits, le 9ème bit sera lu dans le bit RX9D du registre RCSTA. En mode 8 bits, le bit RX9D ne sera pas utilisé. Configuration de la réception. ADDEN : Fonctionne en mode asynchrone avec 9 bits '1' : autorisation de la détection d'adresse '0' : invalidation de la détection d'adresse Dans la plupart du temps nous travaillerons dans un mode 8 bits, donc sans détection d adresse. 69

70 Les registres de contrôle et d'état Les bits d état : L EUSART propose 3 bits d état : TRMT : État du registre à décalage d'envoi '1' : Registre à décalage vide '0' : Registre à décalage plein FERR : Drapeau de détection d'erreur d'encadrement (Framing Error) '1' : Erreur d'encadrement '0' : Pas d'erreur OERR : Drapeau de détection d'overrun Error '1' : Erreur d'overrun '0' : pas d'erreur On n'utilisera pas le bit SENDB du registre TXSTA (on le laissera à '0'). Deux bits sont inutilisés pour une transmission asynchrone. Il s agit du bit 5 du registre RCSTA et du bit 7 du registre TXSTA 70

71 Exemple de configuration On souhaite par exemple configurer la liaison série pour une transmission de : 8 bits de données 2 bits STOP sans parité vitesse de transmission :19200 bauds. La fréquence du quartz du PIC est de 4 MHz. 71

72 Exemple de configuration Solution : Registre SPBRG On va donc fixer le bit BRGH et la valeur de SPBRG. Le tableau 18-3 pour BRGH='0', nous donne un SPBRG de 2 et une erreur de 8,51%. Le tableau 18-3 pour BRGH='1', nous donne un SPBRG de 12 et une erreur de 0,16%. C'est cette dernière valeur que nous allons conserver. bit BRGH= 1 et registre SPBRG = 12 72

73 Exemple de configuration Solution : Registre TXSTA CSRC : '0' sans importance car on est en mode asynchrone TX9 : '1' transmission de 9 bits (le 9 ème bit sera un bit de STOP) TXEN : '1' Autorisation de la transmission SYNC : '0' Mode de fonctionnement asynchrone SENDB : '0' BRGH : '1' voir ci-dessus TRMT : '0' c'est un bit en lecture seule, donc sans importance! TX9D : '1' le neuvième bit sert de bit de STOP Soit TXSTA = 0x65 73

74 Exemple de configuration Solution : Registre RCSTA SPEN : '1' validation de l'affectation au port série de RC6 et RC7 RX9 : '1' réception de 9 bits SREN : '0' Sans importance pour le mode asynchrone CREN : '1' Validation de la réception ADDEN : '0' invalidation de la détection d'adresse FERR : '0' c'est un bit en lecture seule, donc sans importance! OERR : '0' c'est un bit en lecture seule, donc sans importance! RX9D : '0' c'est un bit en lecture seule, donc sans importance! Soit RCSTA = 0xD0 Et registre BAUDCTL = 0x00 74

75 Exemple d'un programme en C Exemple d'un programme qui va envoyer le caractère 'A' (code ASCII 0x41) avec les caractéristiques suivantes : 8 bits de données 2 bits STOP sans parité vitesse de transmission :19200 bauds La fréquence du PIC est de 4 MHz L'analyse structurée du programme peut se présenter comme suit : INITIALISER LA LIAISON SERIE ENVOYER LE CARACTERE 'A' ATTENDRE LA FIN DE LA TRANSMISSION 75

76 Exemple d'un programme en C (transmission) void init_serie (void); // fonction d'initialisation de la liaison série void main ( void ) { init_serie(); // Initialisation de la liaison série TXREG=0x41; // Envoi du caractère 'A' sur la liaison série while (TRMT==0); // Attente de la fin de la transmission while(1); } void init_serie (void) // bauds, 8 bits de données, 2 bits de STOP, sans parité { SPBRG=12; // configuration de la vitesse de transmission TXSTA=0x65; // configuration de la transmission RCSTA=0xD0; // configuration de la réception BAUDCTL = 0x00 ; // configuration du registre de contrôle de vitesse de transmission } 76

77 Exemple d'un programme en C (réception) void init_serie (void); // fonction d'initialisation de la liaison série void main ( void ) { uns8 recu; // Variable qui sert à stocker le dernier octet reçu sur la liaison série init_serie(); // Initialisation de la liaison série while(1) { while(rcif==0); // attente de la réception d'un caractère recu=rcreg; // on lit le caractère reçu et on le stocke dans recu. } } void init_serie (void) // bauds, 8 bits de données, 2 bits de STOP, sans parité { SPBRG=12; // configuration de la vitesse de transmission TXSTA=0x65; // configuration de la transmission RCSTA=0xD0; // configuration de la réception BAUDCTL = 0x00 ; // configuration du registre de contrôle de vitesse de transmission } 77

Transmissions série et parallèle

Transmissions série et parallèle 1. Introduction : Un signal numérique transmet généralement plusieurs digits binaires. Exemple : 01000001 ( huit bits). Dans une transmission numérique on peut envisager deux modes : les envoyer tous en

Plus en détail

Master d'informatique 1ère année Réseaux et protocoles. Couche physique

Master d'informatique 1ère année Réseaux et protocoles. Couche physique Master d'informatique 1ère année Réseaux et protocoles Couche physique Bureau S3-354 Mailto:Jean.Saquet@unicaen.fr http://saquet.users.greyc.fr/m1/rezopro Supports de communication Quelques exemples :

Plus en détail

2 Raccordement d une imprimante, d un terminal ou d un ordinateur au DULCOMARIN

2 Raccordement d une imprimante, d un terminal ou d un ordinateur au DULCOMARIN 1 Généralités L interface sérielle selon RS 232 sert exclusivement à l édition automatique des valeurs mesurées et des messages du DULCOMARIN sur une imprimante ou un PC. La longueur de caractères d une

Plus en détail

ANALYSE TRAMEs LIAISON SERIE

ANALYSE TRAMEs LIAISON SERIE ANALYSE TRAMEs LIAISON SERIE 1 Objectifs de ce travail Nous allons étudier dynamiquement la liaison série en utilisant la simulation. La mise en œuvre des liaisons séries simulées et de TestCom est expliquée

Plus en détail

Description d'une liaison

Description d'une liaison escription d'une liaison I. Constitution d'une liaison...2 II. Modes d'exploitation...2 III. Normalisation des jonctions...2 III.1. Norme V28 (RS232) 3 III.2. Norme V11 (RS422 et RS485) 4 IV. Liaison V24

Plus en détail

UE 503 L3 MIAGE. Initiation Réseau et Programmation Web La couche physique. A. Belaïd

UE 503 L3 MIAGE. Initiation Réseau et Programmation Web La couche physique. A. Belaïd UE 503 L3 MIAGE Initiation Réseau et Programmation Web La couche physique A. Belaïd abelaid@loria.fr http://www.loria.fr/~abelaid/ Année Universitaire 2011/2012 2 Le Modèle OSI La couche physique ou le

Plus en détail

Transmission de données. A) Principaux éléments intervenant dans la transmission

Transmission de données. A) Principaux éléments intervenant dans la transmission Page 1 / 7 A) Principaux éléments intervenant dans la transmission A.1 Equipement voisins Ordinateur ou terminal Ordinateur ou terminal Canal de transmission ETTD ETTD ETTD : Equipement Terminal de Traitement

Plus en détail

Université de La Rochelle. Réseaux TD n 6

Université de La Rochelle. Réseaux TD n 6 Réseaux TD n 6 Rappels : Théorème de Nyquist (ligne non bruitée) : Dmax = 2H log 2 V Théorème de Shannon (ligne bruitée) : C = H log 2 (1+ S/B) Relation entre débit binaire et rapidité de modulation :

Plus en détail

Les liaisons SPI et I2C

Les liaisons SPI et I2C DAMÉCOURT BENJAMIN AVRIL 28 Liaisons synchrones Les liaisons SPI et I2C Face arrière d un imac : trois ports USB, un port Firewire 4 et un port Firewire 8 CHRONOLOGIE ANNÉES 7 La liaison SPI et la création

Plus en détail

Réalisation d un réseau de capteurs de température sans fil basé sur le protocole ZigBee

Réalisation d un réseau de capteurs de température sans fil basé sur le protocole ZigBee Cedric BEAUSSE Mohamed BOUGUERRA Hong Yu GUAN El Ayachi MOKTAD Projet avancé en systèmes embarqués 2006 / 2007 Réalisation d un réseau de capteurs de température sans fil basé sur le protocole ZigBee Sommaire

Plus en détail

Fonctions de la couche physique

Fonctions de la couche physique La Couche physique 01010110 01010110 Couche physique Signal Médium Alain AUBERT alain.aubert@telecom-st-etienne.r 0 Fonctions de la couche physique 1 1 Services assurés par la couche physique Transmettre

Plus en détail

ARDUINO DOSSIER RESSOURCE POUR LA CLASSE

ARDUINO DOSSIER RESSOURCE POUR LA CLASSE ARDUINO DOSSIER RESSOURCE POUR LA CLASSE Sommaire 1. Présentation 2. Exemple d apprentissage 3. Lexique de termes anglais 4. Reconnaître les composants 5. Rendre Arduino autonome 6. Les signaux d entrée

Plus en détail

Fiche technique CPU 314SC/DPM (314-6CG13)

Fiche technique CPU 314SC/DPM (314-6CG13) Fiche technique CPU 314SC/DPM (3146CG13) Données techniques N de commande 3146CG13 Type CPU 314SC/DPM Information générale Note Caractéristiques SPEEDBus Technologie SPEED7 24 x DI, 16 x DO, 8 x DIO, 4

Plus en détail

Fiche technique CPU 315SN/PN (315-4PN33)

Fiche technique CPU 315SN/PN (315-4PN33) Fiche technique CPU 315SN/PN (315-4PN33) Données techniques N de commande 315-4PN33 Information générale Note - Caractéristiques SPEED-Bus - Données techniques de l'alimentation Alimentation (valeur nominale)

Plus en détail

xdsl Digital Suscriber Line «Utiliser la totalité de la bande passante du cuivre»

xdsl Digital Suscriber Line «Utiliser la totalité de la bande passante du cuivre» xdsl Digital Suscriber Line «Utiliser la totalité de la bande passante du cuivre» Le marché en France ~ 9 millions d abonnés fin 2005 ~ 6 millions fin 2004 dont la moitié chez l opérateur historique et

Plus en détail

ProCod. Manuel d utilisation. Software de programmation pour codeurs absolus TWK modèles CRF et DAF CRF 11069 DF 08 / 10

ProCod. Manuel d utilisation. Software de programmation pour codeurs absolus TWK modèles CRF et DAF CRF 11069 DF 08 / 10 Software de programmation pour codeurs absolus TWK modèles CRF et DAF CRF 11069 DF 08 / 10 Manuel d utilisation ProCod TWK France 13-15, avenue de Stalingrad 93170 BAGNOLET T. 01 43 62 00 05 F. 01 43 63

Plus en détail

Tout sur l USB L USB (Universal Serial Bus) a été élaboré en 1996 par Intel, Compaq,Digital,IBM,Microsoft,NEC et NorthTelec (USB 1.0).

Tout sur l USB L USB (Universal Serial Bus) a été élaboré en 1996 par Intel, Compaq,Digital,IBM,Microsoft,NEC et NorthTelec (USB 1.0). Tout sur l USB L USB (Universal Serial Bus) a été élaboré en 1996 par Intel, Compaq,Digital,IBM,Microsoft,NEC et NorthTelec (USB 1.0). Deux systèmes munis d un port (entrée-sortie) sont reliés par un câble.

Plus en détail

Le bus USB. I) Introduction : II) Architecture du bus USB :

Le bus USB. I) Introduction : II) Architecture du bus USB : Le bus USB I) Introduction : Le bus USB est comme son nom l'indique un bus série (Universal Serial Bus). Le bus USB s'est répandu de façon très significative ces dernières années, que ce soit dans les

Plus en détail

Système M-Bus NIEVEAU TERRAIN NIVEAU AUTOMATION NIVEAU GESTION. Domaines d'application

Système M-Bus NIEVEAU TERRAIN NIVEAU AUTOMATION NIVEAU GESTION. Domaines d'application 5 657 ENERGY MONITORING ET CONTROLLING EMC MeterProxy pour M-Bus CSM30.001 L'Energy Monitoring & Controlling EMC est un outil de gestion d'énergie d'utilisation aisée pour la surveillance et le contrôle

Plus en détail

Comme chaque ligne de cache a 1024 bits. Le nombre de lignes de cache contenu dans chaque ensemble est:

Comme chaque ligne de cache a 1024 bits. Le nombre de lignes de cache contenu dans chaque ensemble est: Travaux Pratiques 3. IFT 1002/IFT 1005. Structure Interne des Ordinateurs. Département d'informatique et de génie logiciel. Université Laval. Hiver 2012. Prof : Bui Minh Duc. Tous les exercices sont indépendants.

Plus en détail

Ordinateurs, Structure et Applications

Ordinateurs, Structure et Applications Ordinateurs, Structure et Applications Cours 19, Le USB Etienne Tremblay Université Laval, Hiver 2012 Cours 19, p.1 USB signifie Universal Serial Bus USB Le USB a été conçu afin de remplacer le port série

Plus en détail

Version provisoire du 15 décembre 2003

Version provisoire du 15 décembre 2003 Support de cours de Transmission et Acquisition de Données Responsable du cours : Eric Magarotto Pour toute remarque ou question relative au cours : Laboratoire d'automatique & de Procédés (LAP-ISMRA)

Plus en détail

Hubert & Bruno Lundi 12 octobre 2009 SAINT-QUENTIN (02)

Hubert & Bruno Lundi 12 octobre 2009 SAINT-QUENTIN (02) Hubert & Bruno Lundi 12 octobre 2009 SAINT-QUENTIN (02) Ne rien livrer au hasard, c est économiser du travail Pont Sainte Maxence(O C est quoi USB? Comment ça marche? Les standards? La technique en détail

Plus en détail

FONCTION COMPTAGE BINAIRE ET DIVISION DE FRÉQUENCE

FONCTION COMPTAGE BINAIRE ET DIVISION DE FRÉQUENCE I/ GÉNÉRALITÉS I.1/ Fonction Un compteur binaire est utilisé : -pour compter un certain nombre d'évènements binaires -pour diviser la fréquence d'un signal logique par 2 m Page 1 FONCTION COMPTAGE BINAIRE

Plus en détail

TABLE DES MATIERES. I. Objectifs page 2. II. Types de réseaux page 2. III. Transmission page 2. IV. Câbles page 3. V.

TABLE DES MATIERES. I. Objectifs page 2. II. Types de réseaux page 2. III. Transmission page 2. IV. Câbles page 3. V. TABLE DES MATIERES I. Objectifs page 2 II. Types de réseaux page 2 III. Transmission page 2 1. Série ou parallèle page 2 2. Codage page 3 IV. Câbles page 3 V. Topologie page 4 VI. Types de réseaux locaux

Plus en détail

LES CARACTERISTIQUES DES SUPPORTS DE TRANSMISSION

LES CARACTERISTIQUES DES SUPPORTS DE TRANSMISSION LES CARACTERISTIQUES DES SUPPORTS DE TRANSMISSION LES CARACTERISTIQUES DES SUPPORTS DE TRANSMISSION ) Caractéristiques techniques des supports. L infrastructure d un réseau, la qualité de service offerte,

Plus en détail

Le multiplexage. Sommaire

Le multiplexage. Sommaire Sommaire Table des matières 1- GENERALITES... 2 1-1 Introduction... 2 1-2 Multiplexage... 4 1-3 Transmission numérique... 5 2- LA NUMERATION HEXADECIMALE Base 16... 8 3- ARCHITECTURE ET PROTOCOLE DES RESEAUX...

Plus en détail

SYSTEME DE PALPAGE A TRANSMISSION RADIO ETUDE DU RECEPTEUR (MI16) DOSSIER DE PRESENTATION. Contenu du dossier :

SYSTEME DE PALPAGE A TRANSMISSION RADIO ETUDE DU RECEPTEUR (MI16) DOSSIER DE PRESENTATION. Contenu du dossier : SYSTEME DE PALPAGE A TRANSMISSION RADIO ETUDE DU RECEPTEUR (MI16) DOSSIER DE PRESENTATION Contenu du dossier : 1. PRESENTATION DU SYSTEME DE PALPAGE A TRANSMISSION RADIO....1 1.1. DESCRIPTION DU FABRICANT....1

Plus en détail

CLIP. (Calling Line Identification Presentation) Appareil autonome affichant le numéro appelant

CLIP. (Calling Line Identification Presentation) Appareil autonome affichant le numéro appelant 1. Besoin CLIP (Calling Line Identification Presentation) Appareil autonome affichant le numéro appelant ETUDE FONCTIONNELLE De très nombreux abonnés du réseau téléphonique commuté ont exprimé le besoin

Plus en détail

Présentation Générale

Présentation Générale Présentation Générale Modem routeur LAN Inte rnet Système de connectivités Plan Modem synchrone et Asynchrone La famille xdsl Wifi et WiMax Le protocole Point à Point : PPP Le faisceau hertzien Et le Satellite.

Plus en détail

Spécifications Techniques d Interface

Spécifications Techniques d Interface 67, Édition 2 / Octobre 2000 Spécifications Techniques d Interface SRXUOHUpVHDXGH)UDQFH7pOpFRP 'LUHFWLYH&( &DUDFWpULVWLTXHVGHVLQWHUIDFHVG DFFqV DXVHUYLFH7UDQVIL[0pWURSROLWDLQ 5pVXPp Ce document présente

Plus en détail

2. Couche physique (Couche 1 OSI et TCP/IP)

2. Couche physique (Couche 1 OSI et TCP/IP) 2. Couche physique (Couche 1 OSI et TCP/IP) 2.1 Introduction 2.2 Signal 2.3 Support de transmission 2.4 Adaptation du signal aux supports de transmission 2.5 Accès WAN 2.1 Introduction Introduction Rôle

Plus en détail

Kit pédagogique RFID-EDUC

Kit pédagogique RFID-EDUC Plateau Technique Systèmes électroniques communicants Kit pédagogique RFID-EDUC Support de TP Version enseignant CRESITT Industrie Eric Devoyon - PPT_RFIDEDUC_002ED2012-2.1-05.2012 Le CRT CRESITT est soutenu

Plus en détail

Guide Mémoire NETRAM

Guide Mémoire NETRAM Guide Mémoire NETRAM Types de mémoires vives On distingue généralement deux grandes catégories de mémoires vives : Mémoires dynamiques (DRAM, Dynamic Random Access Module), peu coûteuses. Elles sont principalement

Plus en détail

INSTALLATION CARACTERISTIQUES TECHNIQUES LOGICIEL DE CONFIGURATION

INSTALLATION CARACTERISTIQUES TECHNIQUES LOGICIEL DE CONFIGURATION INSTALLATION CARACTERISTIQUES TECHNIQUES LOGICIEL DE CONFIGURATION Copie de la notice originale FRANCAIS 8540945-28/05/2015 - Rev.4 1 Sommaire INTRODUCTION... 3 Disposition des rayons... 3 RACCORDEMENTS

Plus en détail

1. PRESENTATION DU PROJET

1. PRESENTATION DU PROJET Bac STI2D Formation des enseignants Jean-François LIEBAUT Denis PENARD SIN 63 : Prototypage d un traitement de l information analogique et numérique (PSoC) 1. PRESENTATION DU PROJET Les systèmes d éclairage

Plus en détail

I. TRANSMISSION DE DONNEES

I. TRANSMISSION DE DONNEES TD I. TRANSMISSION DE DONNEES 1. QU'EST-CE QU'UN CANAL DE TRANSMISSION? 1.1 Rappels Une ligne de transmission est une liaison entre les deux machines. On désigne généralement par le terme émetteur la machine

Plus en détail

ADSL. Étude d une LiveBox. 1. Environnement de la LiveBox TMRIM 2 EME TRIMESTRE LP CHATEAU BLANC 45120 CHALETTE/LOING NIVEAU :

ADSL. Étude d une LiveBox. 1. Environnement de la LiveBox TMRIM 2 EME TRIMESTRE LP CHATEAU BLANC 45120 CHALETTE/LOING NIVEAU : LP CHATEAU BLANC 45120 CHALETTE/LOING THEME : ADSL BAC PROFESSIONNEL MICRO- INFORMATIQUE ET RESEAUX : INSTALLATION ET MAINTENANCE ACADÉMIE D ORLÉANS-TOURS 2 EME TRIMESTRE NIVEAU : TMRIM Étude d une LiveBox

Plus en détail

MICROCONTROLEURS PIC PROGRAMMATION EN C. V. Chollet - cours-pic-13b - 09/12/2012 Page 1 sur 44

MICROCONTROLEURS PIC PROGRAMMATION EN C. V. Chollet - cours-pic-13b - 09/12/2012 Page 1 sur 44 MICROCONTROLEURS PIC PROGRAMMATION EN C V. Chollet - cours-pic-13b - 09/12/2012 Page 1 sur 44 Chapitre 1 GENERALITES 1 DEFINITION Un microcontrôleur est un microprocesseur RISC (Reduced Instruction Set

Plus en détail

Télécommunications. Plan

Télécommunications. Plan Télécommunications A.Maizate - EHTP 2010/2011 Plan Concepts généraux: Téléinformatique Liaison de Téléinformatique Sens de transmission Types de transmission Parallèle Série Techniques de Transmission

Plus en détail

Chapitre 2 : communications numériques.

Chapitre 2 : communications numériques. Chapitre 2 : communications numériques. 1) généralités sur les communications numériques. A) production d'un signal numérique : transformation d'un signal analogique en une suite d'éléments binaires notés

Plus en détail

ENREGISTREUR DE TEMPERATURE

ENREGISTREUR DE TEMPERATURE ENREGISTREUR DE TEMPERATURE Jean-Pierre MANDON 2005 www.pictec.org Cet enregistreur de température a été réalisé dans le cadre de la construction d'un chauffe eau solaire. Il me permet d'enregistrer les

Plus en détail

Lecteur de carte à puce LCPM1 SOMMAIRE

Lecteur de carte à puce LCPM1 SOMMAIRE SOMMAIRE I Différents types de cartes p2 1.1- Carte magnétique 1.2- Carte II Les cartes s. p3 2.1- Introduction 2.2- Constitution III Les familles de cartes s. p6 3.1- Les cartes à mémoire simple 3.2-

Plus en détail

UNIVERSAL SERIAL BUS

UNIVERSAL SERIAL BUS Approche de la liaison USB UNIVERSAL SERIAL BUS Objectifs : Ressources : Mettre en évidence les principes du bus USB Définir les particularités de la liaison USB Guillaume FONDEVILLE Ingénieur ENIB : fondeville.free.fr

Plus en détail

Cisco Certified Network Associate

Cisco Certified Network Associate Cisco Certified Network Associate Version 4 Notions de base sur les réseaux Chapitre 8 01 Quelle couche OSI est responsable de la transmission binaire, de la spécification du câblage et des aspects physiques

Plus en détail

PROGRAMMATION D'UN MICROCONTRÔLEUR

PROGRAMMATION D'UN MICROCONTRÔLEUR Projet de Physique P6-3 STPI/P6-3/2009 44 PROGRAMMATION D'UN MICROCONTRÔLEUR Etudiants : Pierre HOUSSIN Peng GE Aël GAIN Enseignant-responsable du projet : Faouzi DHAOUADI Date de remise du rapport :

Plus en détail

EPREUVE OPTIONNELLE d INFORMATIQUE CORRIGE

EPREUVE OPTIONNELLE d INFORMATIQUE CORRIGE EPREUVE OPTIONNELLE d INFORMATIQUE CORRIGE QCM Remarque : - A une question correspond au moins 1 réponse juste - Cocher la ou les bonnes réponses Barème : - Une bonne réponse = +1 - Pas de réponse = 0

Plus en détail

TASCAM MX-2424. Utilisation du SCSI

TASCAM MX-2424. Utilisation du SCSI TASCAM MX-2424 Utilisation du SCSI 1. TERMINOLOGIE SCSI...3 2. CABLES ET BOUCHONS SCSI...4 3. BOITIERS SCSI EXTERNES...4 4. PERIPHERIQUES SUPPORTES...5 4.1 Disques durs SCSI...5 4.2 Lecteurs de sauvegarde

Plus en détail

Les Réseaux sans fils : IEEE 802.11. F. Nolot

Les Réseaux sans fils : IEEE 802.11. F. Nolot Les Réseaux sans fils : IEEE 802.11 F. Nolot 1 Les Réseaux sans fils : IEEE 802.11 Historique F. Nolot 2 Historique 1er norme publiée en 1997 Débit jusque 2 Mb/s En 1998, norme 802.11b, commercialement

Plus en détail

Plan du Travail. 2014/2015 Cours TIC - 1ère année MI 30

Plan du Travail. 2014/2015 Cours TIC - 1ère année MI 30 Plan du Travail Chapitre 1: Internet et le Web : Définitions et historique Chapitre 2: Principes d Internet Chapitre 3 : Principaux services d Internet Chapitre 4 : Introduction au langage HTML 2014/2015

Plus en détail

Digital Subscriber Line

Digital Subscriber Line Digital Subscriber Line Bernard Cousin Présentation d'adsl But : Offrir l'accès à l'internet à partir d'un domicile personnel Le cout des réseaux d'accès est très important par rapport à celui du réseau

Plus en détail

ET 24 : Modèle de comportement d un système Boucles de programmation avec Labview.

ET 24 : Modèle de comportement d un système Boucles de programmation avec Labview. ET 24 : Modèle de comportement d un système Boucles de programmation avec Labview. Sciences et Technologies de l Industrie et du Développement Durable Formation des enseignants parcours : ET24 Modèle de

Plus en détail

Dossier technique. Présentation du bus DMX et Utilisation des options EL13 / EL14 ERM AUTOMATISMES INDUSTRIELS 1 LE PROTOCOLE DMX 2

Dossier technique. Présentation du bus DMX et Utilisation des options EL13 / EL14 ERM AUTOMATISMES INDUSTRIELS 1 LE PROTOCOLE DMX 2 ERM AUTOMATISMES INDUSTRIELS 280 Rue Edouard Daladier 84973 CARPENTRAS Cedex Tél : 04 90 60 05 68 - Fax : 04 90 60 66 26 Site : http://www.erm-automatismes.com/ E-Mail : Contact@erm-automatismes.com 1

Plus en détail

NanoSense. Protocole Modbus de la sonde Particules P4000. (Version 01F)

NanoSense. Protocole Modbus de la sonde Particules P4000. (Version 01F) NanoSense 123 rue de Bellevue, 92100 Boulogne Billancourt France Tél : 33-(0) 1 41 41 00 02, fax : 33-(0) 1 41 41 06 72 Protocole Modbus de la sonde Particules P4000 (Version 01F) Ver V01A V01B V01C V01D

Plus en détail

Master e-secure. VoIP. RTP et RTCP

Master e-secure. VoIP. RTP et RTCP Master e-secure VoIP RTP et RTCP Bureau S3-354 Mailto:Jean.Saquet@unicaen.fr http://saquet.users.greyc.fr/m2 Temps réel sur IP Problèmes : Mode paquet, multiplexage de plusieurs flux sur une même ligne,

Plus en détail

Les techniques de multiplexage

Les techniques de multiplexage Les techniques de multiplexage 1 Le multiplexage et démultiplexage En effet, à partir du moment où plusieurs utilisateurs se partagent un seul support de transmission, il est nécessaire de définir le principe

Plus en détail

La conversion de données : Convertisseur Analogique Numérique (CAN) Convertisseur Numérique Analogique (CNA)

La conversion de données : Convertisseur Analogique Numérique (CAN) Convertisseur Numérique Analogique (CNA) La conversion de données : Convertisseur Analogique Numérique (CAN) Convertisseur Numérique Analogique (CNA) I. L'intérêt de la conversion de données, problèmes et définitions associés. I.1. Définitions:

Plus en détail

Cours n 12. Technologies WAN 2nd partie

Cours n 12. Technologies WAN 2nd partie Cours n 12 Technologies WAN 2nd partie 1 Sommaire Aperçu des technologies WAN Technologies WAN Conception d un WAN 2 Lignes Louées Lorsque des connexions dédiées permanentes sont nécessaires, des lignes

Plus en détail

Sur un ordinateur portable ou un All-in-One tactile, la plupart des éléments mentionnés précédemment sont regroupés. 10) 11)

Sur un ordinateur portable ou un All-in-One tactile, la plupart des éléments mentionnés précédemment sont regroupés. 10) 11) 1/ Généralités : Un ordinateur est un ensemble non exhaustif d éléments qui sert à traiter des informations (documents de bureautique, méls, sons, vidéos, programmes ) sous forme numérique. Il est en général

Plus en détail

Structure et fonctionnement d'un ordinateur : hardware

Structure et fonctionnement d'un ordinateur : hardware Structure et fonctionnement d'un ordinateur : hardware Introduction : De nos jours, l'ordinateur est considéré comme un outil indispensable à la profession de BDA, aussi bien dans les domaines de la recherche

Plus en détail

Systèmes de transmission

Systèmes de transmission Systèmes de transmission Conception d une transmission série FABRE Maxime 2012 Introduction La transmission de données désigne le transport de quelque sorte d'information que ce soit, d'un endroit à un

Plus en détail

BM Le bus USB 09/05/2013. Le Port USB

BM Le bus USB 09/05/2013. Le Port USB Le Port USB 1 USB : Universal Serial Bus L'Universal Serial Bus (USB) est un bus informatique (série synchrone), il sert à brancher des périphériques informatiques à un hôte (ordinateur). 1)Historique

Plus en détail

ANNEXE 5 (1 page) MIC2920x

ANNEXE 5 (1 page) MIC2920x ²² ANNEXE 5 (1 page) MIC2920x Coefficient : 5 DT 7/ 29 ANNEXE 6 (1 page) - ADG 719 Coefficient : 5 DT 8/ 29 ANNEXE 7 (3 pages) - ESDAxxSCy Coefficient : 5 DT 9/ 29 ANNEXE 7 (suite) Coefficient : 5 DT 10/

Plus en détail

Mise en service HORUS version HTTP

Mise en service HORUS version HTTP HTTP 1 / 8 Mise en service HORUS version HTTP Date Indice Nature des modifications Rédaction Nom/visa 03/05/06 A Première rédaction XI Vérification Nom/visa Approbation Nom/visa HTTP 2 / 8 SOMMAIRE 1)

Plus en détail

La programmation des PIC en C. Les fonctions, les interruptions.

La programmation des PIC en C. Les fonctions, les interruptions. La programmation des PIC en C Les fonctions, les interruptions. Réalisation : HOLLARD Hervé. http://electronique-facile.com Date : 26 août 2004 Révision : 1.2 Sommaire Sommaire... 2 Introduction... 3 Structure

Plus en détail

ALERT NOTICE D UTILISATION DU DRIVER SIA

ALERT NOTICE D UTILISATION DU DRIVER SIA ALERT NOTICE D UTILISATION DU DRIVER SIA 1) Installation A) Installation du modem SIA Le modem SIA doit être connecté sur un port série du PC (COM1, ) et raccordé sur une ligne téléphonique analogique.

Plus en détail

Programmation d un contrôleur via une liaison téléphonique Note d application

Programmation d un contrôleur via une liaison téléphonique Note d application Programmation d un contrôleur via une liaison téléphonique, Français Version 1.0.0 24/12/02 ii General Copyright 2001 by WAGO Kontakttechnik GmbH Tous droits réservés. WAGO Kontakttechnik GmbH Hansastraße

Plus en détail

Evolution de l infrastructure transport

Evolution de l infrastructure transport Les réseaux optiques I Les réseaux optiques Jean-Paul GAUTIER, jpg@urec.cnrs.fr CNRS / UREC Une des grandes tendances de la fin des années 90 est la demande croissante en bande passante des réseaux d entreprises

Plus en détail

Filtres maîtres et distribués ADSL

Filtres maîtres et distribués ADSL 1/12 Guide d installation d un filtre LEA Filtres maîtres et distribués Datasheet installation filtre LEA Fr Ed04 27-06-05 - PCt 2/12 Guide d installation d un filtre LEA Qu est-ce que l? signifie Asymetric

Plus en détail

Le signal GPS. Les horloges atomiques à bord des satellites GPS produisent une fréquence fondamentale f o = 10.23 Mhz

Le signal GPS. Les horloges atomiques à bord des satellites GPS produisent une fréquence fondamentale f o = 10.23 Mhz Le signal GPS Les horloges atomiques à bord des satellites GPS produisent une fréquence fondamentale f o = 10.23 Mhz Deux signaux en sont dérivés: L1 (fo x 154) = 1.57542 GHz, longueur d onde = 19.0 cm

Plus en détail

Premiers pas avec D-RATS

Premiers pas avec D-RATS Premiers pas avec D-RATS Table des matières Un guide de démarrage rapide... 2 Étape 1 - Télécharger et installer le logiciel... 2 Étape 2 - Définir les préférences... 3 Etape 3 - Définir les chemins (paths)...

Plus en détail

LE VDSL 2 EN FRANCE. Source : www.ant.developpement-durable.gouv.fr

LE VDSL 2 EN FRANCE. Source : www.ant.developpement-durable.gouv.fr LE VDSL 2 EN FRANCE Par Jean-Marc Do Livramento Consultant télécom fixe et mobile Mai 2013 Des offres d accès Internet Très Haut Débit par VDSL 2 bientôt disponibles en France? Le 26 avril dernier, le

Plus en détail

Les réseaux cellulaires

Les réseaux cellulaires Les réseaux cellulaires Introduction Master 2 Professionnel STIC-Informatique Module RMHD 1 Introduction Les réseaux cellulaires sont les réseaux dont l'évolution a probablement été la plus spectaculaire

Plus en détail

TP Modulation Démodulation BPSK

TP Modulation Démodulation BPSK I- INTRODUCTION : TP Modulation Démodulation BPSK La modulation BPSK est une modulation de phase (Phase Shift Keying = saut discret de phase) par signal numérique binaire (Binary). La phase d une porteuse

Plus en détail

T101, serveur de temps haute précision

T101, serveur de temps haute précision T101, serveur de temps haute précision T101Compact Serveur de temps NTP/SNTP, horloge GPS de strate 1, avec PoE, et fonctions d entrées/sorties d échantillonnage et de synchronisation. T101Rack 1 Bd d

Plus en détail

How To? Sécurité des réseaux sans fils

How To? Sécurité des réseaux sans fils Retrouvez les meilleurs prix informatiques How To? Sécurité des réseaux sans fils Notre magasin Rue Albert 1er, 7 B-6810 Pin - Chiny Route Arlon - Florenville (/fax: 061/32.00.15 FORMATIONS Le MAGASIN

Plus en détail

PIC EVAL Dev Board PIC18F97J60

PIC EVAL Dev Board PIC18F97J60 PIC EVAL Dev Board PIC18F97J60 2 TP1 : Prise en main de l environnement de programmation pour la carte PIC EVAL-ANFA Pour répondre aux questions et justifier vos réponses, vous pouvez faire des copies

Plus en détail

ELP 304 : Électronique Numérique. Cours 1 Introduction

ELP 304 : Électronique Numérique. Cours 1 Introduction ELP 304 : Électronique Numérique Cours 1 Introduction Catherine Douillard Dépt Électronique Les systèmes numériques : généralités (I) En électronique numérique, le codage des informations utilise deux

Plus en détail

ALERT NOTICE D UTILISATION DU DRIVER VOCALYS. (Cesa 200 bauds / Contact-ID)

ALERT NOTICE D UTILISATION DU DRIVER VOCALYS. (Cesa 200 bauds / Contact-ID) ALERT NOTICE D UTILISATION DU DRIVER VOCALYS (Cesa 200 bauds / Contact-ID) 1) Installation A) Installation du driver VOCALYS Lors de l installation du logiciel ALERT, le gestionnaire de communication «Vocalys

Plus en détail

ADSL. C est comme son nom l indique une liaison asymétrique fort bien adaptée à l Internet et au streaming radio et vidéo.

ADSL. C est comme son nom l indique une liaison asymétrique fort bien adaptée à l Internet et au streaming radio et vidéo. A Principes de fonctionnement La technologie de l Asymetric Digital Subscriber Line utilisant à travers un modem spécial votre ligne de téléphone permet une connexion permanente à l Internet atteignant

Plus en détail

TeamConnect. SL TeamConnect CU1, Unité centrale SL TeamConnect CB1, Combox CARACTÉRISTIQUES

TeamConnect. SL TeamConnect CU1, Unité centrale SL TeamConnect CB1, Combox CARACTÉRISTIQUES TeamConnect SL TeamConnect CU1, Unité centrale SL TeamConnect CB1, Combox CARACTÉRISTIQUES 8 Connectivité par ligne téléphonique terrestre et PC/Voix sur IP (VoiP) pour téléconférence via téléphone et

Plus en détail

NOTIONS DE RESEAUX INFORMATIQUES

NOTIONS DE RESEAUX INFORMATIQUES NOTIONS DE RESEAUX INFORMATIQUES GENERALITES Définition d'un réseau Un réseau informatique est un ensemble d'équipements reliés entre eux afin de partager des données, des ressources et d'échanger des

Plus en détail

Ordinateurs, Structure et Applications

Ordinateurs, Structure et Applications Ordinateurs, Structure et Applications Cours 10, Les interruptions Etienne Tremblay Université Laval, Hiver 2012 Cours 10, p.1 Les interruptions du 8086 Une interruption interrompt l exécution séquentielle

Plus en détail

Messages d'erreurs. Redémarrez votre PC en cliquant sur Démarrer, en sélectionnant ensuite Arrêter puis en cochant Redémarrer

Messages d'erreurs. Redémarrez votre PC en cliquant sur Démarrer, en sélectionnant ensuite Arrêter puis en cochant Redémarrer Messages d'erreurs Erreur 602 Vous essayez de vous connecter à Internet. L'erreur n 602 apparaît et il vous est impossible de vous connecter. L'erreur 602 est souvent issue de l'utilisation de l'accès

Plus en détail

Configuration de TCPIPconfig.h

Configuration de TCPIPconfig.h AVANT DE COMMENCER LIRE EN DETAIL L APPLICATION MICROCHIP AN833. (L application MICROCHIP AN1120 est un excellent cours sur le protocole TCP-IP, qu il est indispensable de connaitre avant de fabriquer

Plus en détail

Prise en main. Prise en main - 0

Prise en main. Prise en main - 0 Prise en main 0404 Prise en main - 0 1- Introduction Creative Professional Merci d avoir choisi le Digital Audio System 0404 d E-MU. Nous avons conçu ce produit E-MU pour qu il soit logique, intuitif et

Plus en détail

SUR MODULE CAMÉRA C38A (OV7620)

SUR MODULE CAMÉRA C38A (OV7620) Applications maquette d'étude EP10K20 DÉMULTIPLEXEUR BT.656 SUR MODULE CAMÉRA C38A OV7620 SCHÉMAS ET DESCRIPTIONS AHDL 1. Schéma principal Le démultiplexeur proprement dit est la fonction "Decod_BT656_1".

Plus en détail

Observer TP Ondes CELERITE DES ONDES SONORES

Observer TP Ondes CELERITE DES ONDES SONORES OBJECTIFS CELERITE DES ONDES SONORES Mesurer la célérité des ondes sonores dans l'air, à température ambiante. Utilisation d un oscilloscope en mode numérique Exploitation de l acquisition par régressif.

Plus en détail

TABLE DES MATIÈRES 1. DÉMARRER ISIS 2 2. SAISIE D UN SCHÉMA 3 & ' " ( ) '*+ ", ##) # " -. /0 " 1 2 " 3. SIMULATION 7 " - 4.

TABLE DES MATIÈRES 1. DÉMARRER ISIS 2 2. SAISIE D UN SCHÉMA 3 & '  ( ) '*+ , ##) #  -. /0  1 2  3. SIMULATION 7  - 4. TABLE DES MATIÈRES 1. DÉMARRER ISIS 2 2. SAISIE D UN SCHÉMA 3! " #$ % & ' " ( ) '*+ ", ##) # " -. /0 " 1 2 " 3' & 3. SIMULATION 7 0 ( 0, - 0 - " - & 1 4. LA SOURIS 11 5. LES RACCOURCIS CLAVIER 11 STI Electronique

Plus en détail

212 Erreur accès anti- Echo. 214 Erreur démarrage vérif DSP. 215 Erreur accès trame IC. 216 Erreur DSP carte MSG. 217 Erreur données Carte MSG

212 Erreur accès anti- Echo. 214 Erreur démarrage vérif DSP. 215 Erreur accès trame IC. 216 Erreur DSP carte MSG. 217 Erreur données Carte MSG Liste s et de solutions Le tableau ci-dessous contient les erreurs et leurs solutions. Lorsqu'une erreur avec le code "*" survient dans l'ip-pbx, le voyant ALARM de la face frontale du meuble de base s'allume

Plus en détail

Systèmes et Réseaux (ASR 2) - Notes de cours Cours 14

Systèmes et Réseaux (ASR 2) - Notes de cours Cours 14 Systèmes et Réseaux (ASR ) - Notes de cours Cours Anne Benoit May, 0 PARTIE : Systèmes PARTIE : Réseaux Architecture des réseaux de communication La couche -liaison La couche -réseau Algorithmes de routage

Plus en détail

Signalisation, codage, contrôle d'erreurs

Signalisation, codage, contrôle d'erreurs Signalisation, codage, contrôle d'erreurs Objectifs: Plan Comprendre les mécanismes utilisés pour transmettre des informations sur un support physique Comprendre la nécessité de regrouper les informations

Plus en détail

Surveillance de Température sans fil

Surveillance de Température sans fil commentaires: Surveillance de Température sans fil Données fiables & sécurisées Surveillance en continu & en directe Options d'alarme Accès aux données & rapport faciles normalisation Aides pour la conformité

Plus en détail

Electricité et mise à la terre

Electricité et mise à la terre Electricité et mise à la terre... 2 Le bruit électrique... 2 Les décharges électrostatiques... 2 La mise à la terre du matériel informatique... 3 Le problème des terres multiples... 4 Les perturbations

Plus en détail

s s w SYSTEMES MODULAIRES INDUSTRIELS DE TÉLÉTRANSMISSION TÉLÉGESTION

s s w SYSTEMES MODULAIRES INDUSTRIELS DE TÉLÉTRANSMISSION TÉLÉGESTION s s w SYSTEMES MODULAIRES INDUSTRIELS DE TÉLÉTRANSMISSION TÉLÉGESTION SYSTEMES BREVETÉS MARQUES DÉPOSÉS P E R A X S A S U 4 8, r u e d e F e n o u i l l e t - B P 5 6 3 1 1 4 0 S A I N T A L B A N T é

Plus en détail

Acquisition et conditionnement de l information Les capteurs

Acquisition et conditionnement de l information Les capteurs Acquisition et conditionnement de l information Les capteurs COURS 1. Exemple d une chaîne d acquisition d une information L'acquisition de la grandeur physique est réalisée par un capteur qui traduit

Plus en détail

DOSSIER ADSL. DEMARCHE DE TRAVAIL : Consultez rapidement le dossier avant de commencer Complétez le dossier en suivant les indications du document

DOSSIER ADSL. DEMARCHE DE TRAVAIL : Consultez rapidement le dossier avant de commencer Complétez le dossier en suivant les indications du document DOSSIER ADSL OBJECTIFS DU DOSSIER : Dans le dossier suivant, nous vous proposons de découvrir les spécificités des lignes à haut débit. Nous verrons notamment deux dossiers : L ADSL (Asymmetric Digital

Plus en détail

Débuter avec PsoC ou PsoC niveau 0

Débuter avec PsoC ou PsoC niveau 0 Débuter avec PsoC ou PsoC niveau 0 Objectifs : se familiariser avec les circuits PsoC et leur environnement, prendre en main le logiciel et le kit d'évaluation, développer une application simple avec le

Plus en détail

Traitement de données

Traitement de données Traitement de données Les cartes à puce La carte à puce succède : aux cartes à codes barres aux cartes à pistes magnétiques. Mise en situation. La carte à puce est une carte plastifiée aux dimensions de

Plus en détail