UNIVERSITÉ DE MONTRÉAL CONCEPTION ET IMPLEMENTATION D UN DÉCODEUR DÉDIÉ À UN MODULATEUR SIGMA-DELTA

Dimension: px
Commencer à balayer dès la page:

Download "UNIVERSITÉ DE MONTRÉAL CONCEPTION ET IMPLEMENTATION D UN DÉCODEUR DÉDIÉ À UN MODULATEUR SIGMA-DELTA"

Transcription

1

2 UNIVERSITÉ DE MONTRÉAL CONCEPTION ET IMPLEMENTATION D UN DÉCODEUR DÉDIÉ À UN MODULATEUR SIGMA-DELTA MOHAMED AMINE MILED DÉPARTEMENT DE GÉNIE ÉLECTRIQUE ÉCOLE POLYTECHNIQUE DE MONTRÉAL MÉMOIRE PRÉSENTÉ EN VUE DE L OBTENTION DU DIPLÔME DE MAITRISE ÉS SCIENCES APPLIQUÉES (GÉNIE ÉLECTRIQUE) NOVEMBRE 2007 MOHAMED AMINE MILED, 2007

3 UNIVERSITÉ DE MONTRÉAL ÉCOLE POLYTECHNIQUE DE MONTRÉAL Ce mémoire intitulé : CONCEPTION ET IMPLEMENTATION D UN DÉCODEUR DÉDIÉ À UN MODULATEUR SIGMA-DELTA Présenté par : MOHAMED AMINE MILED en vue de l obtention du diplôme de : Maîtrise ès sciences appliquées a été dûment accepté par le jury d examen constitué de : M. SAVARIA Yvon, Ph.D., président M. SAWAN Mohamad, Ph.D., membre et directeur de recherche M. CARDINAL Christian, Ph.D., membre

4 iv À ma famille

5 v Remerciements Tout d abord mes vifs remerciements s adressent à mon directeur de recherche M. Mohamad Sawan, professeur à l École polytechnique de Montréal, qui m a permis, tout au long de ces deux dernières années, d effectuer mes travaux dans d excellentes conditions de travail au sein de son équipe Polystim et qui, par la même occasion, m a soutenu financièrement. Je tiens également à remercier M. Yvon Savaria, professeur à l École polytechnique de Montréal, ainsi que M. Christian Cardinal, professeur à l École polytechnique de Montréal, d avoir acceptés respectivement de présider et d être membre du jury de ce mémoire. Je voudrais aussi remercier M. Ebrahim Ghafar-Zadeh, étudiant au doctorat dans l équipe Polystim qui, par ses conseils, m a offert l occasion d élargir mes connaissances et d enrichir mon expérience. Je tiens à remercier également toute l équipe Polystim, sans oublier, M. Réjean Lepage, M. Gaétan Décarie et Maxime Thibault, spécialistes techniques du département de génie électrique de l École polytechnique de Montréal. Je dois ma gratitude à mes très chers parents Faiza et Fadhel Miled et à ma sœur Emna pour leur soutient moral et encouragement indéfectible tout au long de ma recherche en dépit de la distance qui nous sépare. Je remercie tous mes proches et en particulier, Lotfi et Tarek Khaddar, mon cousin Youssef Khouja et sa femme pour leur aide omniprésente. Pour finir, toute ma reconnaissance aux personnes qui ont contribué de prés ou de loin à la réalisation de ce projet de maîtrise.

6 vi Résumé Les convertisseurs analogique-numérique (CAN) occupent une place prépondérante dans les circuits électroniques et le choix du convertisseur dépend considérablement de la nature de l application. Dans le cadre de notre projet de détection des substances micro fluidiques par le biais de dispositifs miniaturisés tels que les laboratoires sur puces, les CAN Sigma-Delta (Σ ) sont parmi les meilleurs candidats. D abord parce que ces derniers permettent une précision élevée, en plus ils sont plus facilement intégrables dans un circuit intégré dédié. Cependant de tels convertisseurs exigent un certain traitement de signal spécialisé ce qui peut conduire à un temps de conversion élevé. Ainsi, dans ce projet, notre objectif est de réduire ce temps de conversion pour accélérer le traitement de signal. Un convertisseur Σ se compose principalement de deux grandes parties : le modulateur et le décimateur (ou décodeur). Le décodeur consiste à rendre l information fournie par le modulateur interprétable par l utilisateur. Pour réaliser cette partie deux approches sont possibles : 1) filtrage, 2) décodage. Le décodage permet d atteindre une meilleure précision mais son implémentation matérielle est plus complexe que celle du filtrage et le délai de traitement des données est plus long. Notre choix s est arrêté sur le décodage vu que la précision est d une grande importance pour notre application, en plus nous avons proposé une technique de décodage dynamique permettant une réduction considérable du temps de traitement. Notons que l utilisation d un algorithme de décodage plutôt que le filtrage est justifiée par le fait que les séquences générées par un modulateur Σ renferment un bon niveau de bruit de sorte que le filtrage peut supprimer une partie de l information recherchée. C est pour cette fin que le décodage est mieux adapté dans le cas où on s intéresse à la précision. Quant à l architecture dynamique son avantage est d éviter la redondance dans le traitement de l information. Ceci est très bénéfique pour des applications dont la

7 vii fréquence de fonctionnement est faible. L architecture proposée a permis une accélération du temps de traitement de 2 à 4 fois. Elle se base en partie sur un algorithme de décodage itératif qui a été récemment publié. Pour valider l algorithme proposé nous avons implémenté son architecture dans un composant programmable (FPGA). Les résultats montrent qu une telle approche permet d atteindre un gain moyen de 4.00 db pour une séquence de longueur 8 bits et de 1.70 db pour une séquence de longueur 80 bits. L architecture proposée a été implémentée sur la plateforme de développement d Actel AFS-EVAL-BRD qui consiste en un nouveau composant mixte (analogique/numérique) programmable. En effet, cette plateforme donne accès à toutes les entrées sorties du FPGA en plus d intégrer des outils de bases tels que des horloges, des régulateurs de tensions ainsi qu à toute la fonctionnalité d un FPGA comme le générateur d horloge interne et le CAN intégré à ce dernier.

8 viii Abstract Analog to Digital Converters have a considerable impact in electronic circuits. In fact, there are several types of ADCs and, according to every application; one type of ADC may be adapted better than others. Within the framework of our project intended to the detection of microfluidic substances based on a laboratory on chip platform, the Σ converters are the best choice. These Σ converters have a better precision than others and can be easily implemented. But such converters require specialized signal processing work, which can lead to a high conversion time. Thus, in this project, our goal is to reduce such conversion time in accelerating the signal processing tasks. Basically a Σ converter is composed of two parts: the modulator and the decimator or decoder. The decoding part consists of extracting the information related to the sampled signal from the Σ modulator. Nevertheless, to carry out this part, two approaches can be chosen: 1) filtering, and 2) decoding. The decoding technique offers higher precision, but it is more complex and requires more time for data processing. The decoding technique is more adapted for our project than filtering, because sequences generated by the Σ modulator contain much more information mixed with noise thus filtering can remove a part of the requested information to restore the sampled signal. Thus, decoding is more useful in our project since high precision is our main goal. At the same time, using a dynamic architecture in the decoder is useful to avoid redundancy in the data processing. This is advantageous for low frequency applications. The proposed architecture offers data processing acceleration from 2 to 4 times. It is based on an iterative recently published decoding algorithm.

9 ix To validate the proposed decoding algorithm, we implemented its architecture on a Field-programmable gate array (FPGA). The results show that such an approach offers an average gain of 4.00 db for an 8-bit sequence and 1.70 db for an 80-bit sequence. Actel AFS-EVAL-BRD prototyping kit was used to implement the proposed architecture. This platform provides access to all FPGA Inputs/Outputs in addition to configurable clock and voltage regulators; it allows access to all FPGA functions such as the clock generator and an integrated ADC available inside this mixed-signal (analogdigital) FPGA.

10 x Table des matières Remerciements v Résumé Abstract vi viii Table des matières x Liste des figures xiii Liste des tableaux xv Liste des abréviations xvi Liste des annexes xvii Introduction 1 Chapitre 1 Introduction aux convertisseurs analogique-numériques Introduction Échantillonnage des données Principe de fonctionnement des CAN Principaux types de CANs CAN à approximation successive Convertisseur Parallèle Convertisseur Sigma-Delta Convertisseur pipeline Comparaisons des CAN Conclusion 20

11 xi Chapitre 2 Le décodage dans les convertisseurs Sigma-Delta Introduction Convertisseurs Sigma-Delta Le bruit de quantification La décimation dans les modulateurs Σ Algorithmes de décodage L algorithme «Zoomer» L algorithme Robust O(N log N) Modèle de génération hiérarchique et décodage optimal Conclusion 43 Chapitre 3 Formulation mathématique du processus de décodage développé Introduction Algorithme de décodage statique Technique de décodage Exemple de décodage Algorithme de décodage dynamique Définition du décodage dynamique Définition mathématique Phase de latence Phase de décodage dynamique Exemple de décodage dynamique Conclusion 71 Chapitre 4 Architecture du module de décodage dynamique Introduction Architecture de décodage statique 72

12 xii Rappel des étapes de l algorithme de décodage statique Description générale Module de détermination de l E courant et de l E correcrif Module de génération d une nouvelle séquence Module de génération de la séquence finale Module de calcul des coefficients Module de calcul de la somme des éléments de: la séquence précédentes, courante et suivante Module de pré estimation de la valeur du signal analogique Arrêt du décodage et lancement du cycle suivant Connexion entre les différents modules Architecture de la parie dynamique du décodage Conclusion 88 Chapitre 5 Résultats de l implémentation Introduction Simulation fonctionnelle Simulation post placement et routage Implémentation matérielle Résultats expérimentaux Résumé des principales caractéristiques de l architecture Conclusion 103 Conclusion 104 Bibliographie 106

13 xiii Liste des figures Figure 1.1 Chaîne d'acquisition des données 7 Figure 1.2. Principe de l'échantillonnage 9 Figure 1.3. Quantification des données dans un CAN 10 Figure 1.4. Architecture du CAN à approximation successive 13 Figure 1.5. Architecture de base d'un CAN parallèle 14 Figure 1.6. Modèle d'un convertisseur Sigma-Delta de 2 ème ordre 17 Figure 2.1. Convertisseur Σ : (a) diagramme bloc, (b) contenu du modulateur 22 Figure 2.2. Principe de fonctionnement des convertisseurs Σ 22 Figure 2.3. Principe de la quantification 23 Figure 2.4. Erreur de quantification pour une entrée DC 29 Figure 2.5. Impact de l ordre du filtre de décimation sur la résolution du CAN 31 Figure 2.6. Principe de l'algorithme "Zoomer" 33 Figure 2.7. Organigramme de l Algorithme "Zoomer" 34 Figure 2.8. SNR vs. ratio de sur échantillonnage (tiré de [32]) 35 Figure 2.9. Exemple de génération de la séquence dérivée w(i) 36 Figure Performances de l'algorithme O ( N log N ) (tiré de [33]) 37 Figure Structure du convertisseur Σ de premier ordre (Tirée de [34]) 38 Figure Région B sous forme d'un quadripôle 40 Figure Diagramme des vecteurs de quantification normalisé 40 Figure SQNR vs amplitude du bruit pour les algorithmes de décodage cycliques, linéaires et l algorithme Zoomer. 42 Figure 3.1. Modèle de génération des séquences intermédiaires 48 Figure 3.2. Principe du décodage 49 Figure 3.3. Vue globale du décodage statique (I/O) 53 Figure 3.4. Principe de décodage dynamique 57 Figure 3.5. Algorithme de décodage dynamique Erreur! Signet non défini.

14 xiv Figure 3.6. Algorithme d'apprentissage durant la phase de latence 62 Figure 3.7. Exemple de remplissage dynamique de la matrice mem 67 Figure 3.8. Décodage statique vs. décodage dynamique pour des séquences de 40 bits 70 Figure 4.1. Architecture de base de la partie statique du décodeur 73 Figure 4.2. Module RCS 75 Figure 4.3. Module CNIS_NCR 76 Figure 4.4. Détermination des NCR 77 Figure 4.5. Génération de la séquence finale 78 Figure 4.6. Élément du module de génération des nouveaux coefficients 79 Figure 4.7. Module de calcule des sommes 80 Figure 4.8. Module de pré estimation 81 Figure 4.9. Enchaînement des différentes étapes de décodage statique 82 Figure Diagramme bloc global du module dynamique 83 Figure Organisation de la mémoire centrale du décodeur dynamique 83 Figure Mémoire connexe pour les indexes 84 Figure Module de masquage 84 Figure Module de comparaison 85 Figure 5.1. Simulation fonctionnelle : séquence Figure 5.2. Simulation fonctionnelle: cas particuliers : la séquence à décoder est une constante (1 ou 0) ou la séquence est une suite de 1 et de 0 de période Figure Simulation fonctionnelle: séquences de 12 bits 90 Figure 5.4. Simulation post placement et routage : séquences de 12 bits 90 Figure 5.6. Image du FPGA d un décodeur de 40 bits 94 Figure 5.7. Image du FPGA d un décodeur statique de 40 bits 94 Figure 5.8. Simulation post placement et routage de l'architecture développée 97 Figure 5.9. Résultats expérimentaux du FPGA AFS600 (Actel) 97 Figure Fonctionnement du décodeur dynamique de 40 bits 102 Figure Performances de l'architecture de décodage dynamique 102

15 xv Liste des tableaux Tableau 1.1 Caractéristiques des principales familles des CAN [27] 19 Tableau 2.1. Points de transitions pour N=12 et U 0 =0 26 Tableau 2.2. Exemples de codes générés par un modulateur Σ 27 Tableau 5.1. Ressources matérielles principales utilisées 94 Tableau 5.2. Fréquences maximales pour différentes architectures 95 Tableau 5.3. Consommation d énergie des différents modules estimée par SmartPower 96 Tableau 5.4. Changement brusque de la consommation d énergie 100 Tableau 5.5. Fréquence maximale de fonctionnement mesurée du FPGA 100 Tableau 5.6. Comparaison entre les deux décodeurs dynamique et statique 101

16 xvi Liste des abréviations Σ ADC CAN CNA CNIS DAC DSP FIR FPGA FSM LoC MEF MSE NCR RCS SQNR SNCR Sigma-Delta Analog to Digital Converter Convertisseur Analogique Numérique Convertisseur Numérique Analogique Create New Intermediate Sequence Digital to Analog Converter Digital Signal Processor Finite Impulse Response : filtre à réponse impulsionnelle Field-Programmable Gate Array Finit State Machine Lab-on-Chip Machine à États Finis Mean Square Error Non Closed Run Run and Correction Scan Signal Quantized to Noise Ratio Scan for Non Closed Run

17 xvii Liste des annexes Annexe A Algorithme de division binaire 108 Annexe B Carte de développement AFS-EVAL-BRD1 109 Annexe C Ressources matérielles utilisées 110 C.1. Architecture de décodage dynamique 110 C.2. Architecture de décodage statique 111 Annexe D Puissance consommée par l architecture proposée 113 Annexe E Algorithme de décodage dynamique 114 Annexe F Architecture de décodage dynamique Fichiers VHDLs 116

18 1 Introduction De plus en plus de dispositifs traitant l information de façon numérique sont présents sur le marché permettant un traitement avancé de cette information, à titre d exemples, nous pouvons citer le plus célèbre d entre eux soit l ordinateur personnel (PC) ou encore des circuits intégrés spécialisés tels que les microcontrôleurs ou les FPGA. Le point commun entre tous ces outils est le fait qu ils ne peuvent traiter une information numérique codée que par des valeurs binaires. Cependant la source d un signal électrique est souvent analogique et non numérique comme les signaux électriques captés du corps humains qui ont de faibles amplitudes et qui sont extrêmement sensibles. Mais dans des applications bien particulières telles que les dispositifs basés sur la nouvelle technique laboratoire sur puce (Laboratory on chip LoC), la précision est encore plus accrue. Une plateforme LoC, faisant l objet de nos applications, et des mini laboratoires gravés sur des verres ou des polymères ou encore sur des puces et sous la forme d un réseau de canaux microfluidiques. Les LoC permettent l analyse ou la détection d un échantillon, d un mélange ou de toute autre substance liquide. Leurs principaux avantages sont leur facilité d utilisation et la reproductibilité du résultat grâce à l automatisation et la standardisation du processus, la vitesse de l analyse et la faible consommation d échantillons [1]-[5]. Un exemple d utilisation d un LoC est l injection des médicaments dans le corps humain avec des doses bien précises à des moments bien déterminés. Une simple erreur de dosage ou de temporisation peut avoir des conséquences extrêmement graves. D où l importance de contrôler des micromachines avec une précision accrue [6]-[7]. Un tel contrôle est généralement effectué par d autres composants qui sont soit un PC ou un circuit dédié au traitement de signal comme les FPGA. Cependant ces unités de

19 2 traitement de signal sont, en grande partie, de circuits numériques, autrement dit, ils ne peuvent fournir et recevoir que des 0 et 1. Et donc une interface s impose entre l unité de contrôle et les circuits analogiques. Ces interfaces sont connues sous le nom de convertisseurs analogique/numérique (CAN) (Analog to digital converters - ADC) et de convertisseurs numériques/analogiques (CNA) (Digital to analog converters - DAC). Les CAN reçoivent un signal analogique, font la conversion numérique et envoient le signal binaire obtenu suite à la conversion à l unité de traitement. Ces derniers doivent être capables de convertir un signal avec une précision élevée et un temps de conversion le plus faible possible surtout pour les applications biomédicales. Dans notre application notre objectif est d avoir un temps de conversion ne dépassant pas les 100 ns. À titre d exemple le CAN que nous avons mis en œuvre pour l application LoC est capable de détecter une variation de capacité de l ordre de 1 ff. Ceci implique une variation très faible du signal analogique provenant du LoC, et donc une précision élevée s impose du coté de l ADC. De nombreux travaux de recherche ont été publiés pour chacun des points cités précédemment. Certains d entre eux tentent de minimiser les bruits et les artéfacts introduits par l environnement extérieur en augmentant la résolution des CAN. Le revers de la médaille est le temps de conversion qui augmente en fonction de la résolution et par la suite la vitesse d échantillonnage qui décroît. L espace occupé par le CAN prend de plus en plus d importance, vu qu il est souhaitable de minimiser au minimum l espace d une puce électronique. Plusieurs architectures de CAN ont été proposées au fil du temps dépendamment de l évolution technologique. Toutes ces architectures peuvent être classées en ces 4 grandes familles de convertisseurs parmi elles: les convertisseurs à approximation successive dont le fonctionnement consiste en une approximation du signal d entrée à des valeurs prédéfinies. Le signal obtenu

20 3 à la sortie n est qu une représentation de cette approximation. Plus le niveau d approximation est élevé, meilleure est la résolution. les convertisseurs parallèles dont le fonctionnement se base sur la comparaison de la tension d entrée à plusieurs seuils et une transformation du résultat de la comparaison par une logique combinatoire en un mot binaire. les convertisseurs basés sur les distributions de charges à l aide de capacités qui se chargent et se déchargent dépendamment de la tension d entrée. les convertisseurs Sigma-Delta dont le principe de fonctionnement se base sur le sur-échantillonnage du signal analogique. L utilisation des filtres numériques et du décodage permet d atteindre une résolution pouvant aller jusqu à 20 bits pour ce type de CAN. Ces convertisseurs sont spécialement adaptés pour les signaux à faible fréquence et haute résolution. Ils ont, en plus, une grande précision et une linéarité remarquable. Autre point culminant est l introduction de l analyse dynamique, approche devenue possible avec les moyens technologiques dont nous disposons actuellement et spécialement les FPGA et DSP qui permettent un traitement élaboré de l information. Cette approche qui adopte une conduite particulière dépendamment de l environnement dans lequelle elle évolue est de plus en plus convoitée par les chercheurs. Le but de cette approche est d accélérer les tâches de traitement du signal. Ceci est très important dans les applications biomédicales vu que les fonctions biologiques se déroulent à des vitesses relativement faibles. Dans ce cas, il est souhaitable de ne pas refaire un ensemble de traitement identique si l information traitée reste inchangée. D où l idée d adopter une approche dynamique dans la phase de la conversion des données. Cette technique donnerait aux convertisseurs la possibilité d adopter un traitement ou un autre pour une information donnée. Ajoutons que cette technique est déjà appliquée pour la gestion du flux des données sur Internet [8] ou pour les applications robotiques. En résumé, l approche dynamique permet de donner une autonomie de décision à des

21 4 machines qui sont de plus en plus appelées à fonctionner dans des environnements inconnus dont le corps humain fait partie. L équipe de recherche Polystim étant spécialisée dans la conception des implants destinés à être utilisés dans le corps humain, ce projet s inscrit dans le cadre de la mise en œuvre des microsystèmes basés sur un laboratoire sur puce dont la fonction est de déterminer la substance microfluidique et ses caractéristiques pour des applications médicales. Ce genre de microsystème nécessite des CAN à haute précision capable de détecter une variation de tension inférieure à 30 mv tout en réduisant les parasites introduits par la le LoC, mais souvent à très basse consommation d énergie. Notre choix s est arrêté sur les CAN Sigma-Delta. Cependant ce type de CAN nécessite un module de décodage des données. Le présent projet de maîtrise concerne plus précisément le développement d une architecture de décodage dynamique pour les CAN Sigma-Delta. Nous introduisons dans le chapitre 1 les architectures des principaux convertisseurs analogique/numérique, leurs avantages et inconvénients et une comparaison permettant de mettre en évidence les avantages des uns par rapport aux autres. Le chapitre 2 fera la lumière sur les convertisseurs analogique/digital Sigma- Delta ainsi que sur les différentes techniques de décodage qui accompagnent ces convertisseurs. L algorithme de décodage utilisé ainsi que l approche dynamique feront l objet du 3 ème chapitre. Quant au 4 ème chapitre, il détaille l implémentation de l architecture de décodage proposée sur FPGA, l architecture statique et la gestion dynamique des données y sont toutes les deux présentées. Le dernier chapitre est consacré à la présentation des résultats de l implémentation que ce soit l espace occupé, la puissance consommée ou encore la validation matérielle du fonctionnement du

22 5 décodeur développé. Une comparaison des résultats entre les approches statique et dynamique est présentée pour mettre en évidence les avantages de la gestion dynamique dont le principal atout est la réduction du temps de conversion. L architecture développée a permis une réduction du temps de conversion de 2 à 4 fois dépendamment du signal échantillonné tout en assurant un bon rapport signal sur bruit.

23 6 Chapitre 1 Introduction aux convertisseurs analogique-numérique 1.1. Introduction Un système électronique peut renfermer plusieurs composants et circuits électriques. Dans le cas d un laboratoire sur puce, système qui fait l objet de nos applications et présenté dans l introduction du mémoire; nous retrouvons un étage d acquisition de données biologiques, un autre qui transforme ces données en un signal électrique, une unité de traitement, un contrôleur de l ensemble et un dernier module qui représente l interface usager. Dans l application que nous avons développée, le laboratoire sur puce est un système qui reçoit les données biologiques et les transforme en un signal électrique, un FPGA traite le signal et enfin un PC représente la partie de contrôle et l interface avec l utilisateur. Ainsi une chaîne d acquisition des données recueille les informations provenant de l environnement extérieur et envoie ces informations sous une forme appropriée à une unité spécialisée pour leur exploitation [9]. Or, ces différents éléments ne peuvent pas communiquer entre eux directement, étant donné qu ils traitent des informations de nature différente. La Figure 1.1 représente la chaîne d acquisition des données qui montre les interactions entre ces différents modules. Dans notre application ou nous cherchons à avoir les données les plus précises tout en réduisant le temps de conversions pour permettre au système de détecter n importe quelle variation dès son apparition pour cette fin une approche dynamique s impose.

24 7 Figure 1.1 Chaîne d'acquisition des données Nous donnons ci-dessous quelques exemples de données manipulées par chaque module dans le cadre du projet de laboratoire sur puce: le module biologique manipule des substances moléculaires. le laboratoire sur puce gère le flux de cellules biologiques et génère des signaux électriques analogiques. le FPGA traite les données numériques. Le PC traite, lui aussi, les données numériques et génère des données des graphiques ou des tableaux qui peuvent être visualisés sur son écran. Les diverses parties de ce système nécessitent des composants qui permettent la liaison et la communication entre ces dernières. De tels composants sont les convertisseurs analogiques/numériques (CAN) et CNA.

25 8 Dans de ce chapitre, nous allons nous restreindre aux CAN en présentant leur principe de fonctionnement, ainsi que leurs principales familles Échantillonnage des données L échantillonnage est la première étape dans la transformation d un signal analogique x() t en un signal numérique x( n ). Cette opération consiste à remplacer le signal analogique par une suite de valeurs ponctuelles xn ( ) = xnt ( ) ; n= 0, 1,..., (1.1) où T e est la période d échantillonnage séparant les différentes valeurs et n le nombre de période d échantillonnage. e Le signal échantillonné peut alors être approximé par un signal xe () t qui est égal à x(t) pendant de brefs instants de duréeτ et de période T e, tel que f e 1 = (1.2) T où f e est appelée aussi fréquence d échantillonnage qui doit satisfaire les critère énoncés par le théorème de Nyquist-Shannon de sorte que la fréquence d'échantillonnage f e d'un signal doit être égale ou supérieure au double de la fréquence maximale contenue dans ce signal, afin de convertir ce signal d'une forme analogique à une forme numérique.. x e (t) est nul autrement. e En pratique, le signal x e (t) est obtenu en échantillonnant le signal x(t) avec un circuit échantillonneur/bloqueur (E/B) actionné par une impulsion de durée τ et de période T e comme le montre la Figure 1.2. τ Défini le temps d acquisition du signal analogique par l échantillonneur bloqueur. Pour cette fin, elle doit être suffisamment grande pour permettre aux capacités des E/B de se charger et décharger.

26 9 Figure 1.2. Principe de l'échantillonnage 1.3. Principe de fonctionnement des CAN Un CAN convertit un signal analogique en un signal numérique. Cette opération se fait dans certains cas en deux étapes : la quantification puis le décodage. La quantification transforme un signal analogique continu en une suite finie de valeurs numériques. La Figure 1.3 montre le principe de quantification : chaque état couvre un intervalle de valeurs analogiques de largeurs Q N, appelé pas de quantification ou quantum. Lorsque les pas de quantification sont tous égaux (c est loin d être le cas étant donné que cela implique une reproduction parfaite des circuits analogiques correspondant à chaque niveau), la quantification est dite uniforme. C est cette étape de quantification qui fixe en partie le temps et la précision de la conversion mais elle introduit une certaine erreur dans le résultat final. En fait si on cherche à avoir un code binaire de N bits il nous faudra 2 N -1 états de quantification. Un code binaire est une représentation codée du signal analogique.

27 10 Valeurs analogiques État Q N+1 N+1 Q N Q N-1 N N-1 Figure 1.3. Quantification des données dans un CAN Le décalage binaire et le complément à deux sont fréquemment utilisés dans la génération des codes dans les CAN. Citons également le code Gray qui est utilisé de temps à autre [10]. En outre, un CAN est défini par plusieurs paramètres dont la résolution, l exactitude, la fréquence d échantillonnage, le temps de conversion, la tension de références, son offset, le temps d établissement Mais puisque notre projet de recherche concerne uniquement la partie de décodage des CAN Sigma-Delta, seuls quelques paramètres ont un impact sur cette partie. Ces derniers sont introduits dans la section suivante. Résolution C est la plus petite variation de l entrée qui fait changer la sortie numérique. Elle peut s exprimer en pourcentage de la pleine échelle ou en nombre de bits. Vmax Résolution = =Δ (1.3) N 2 V max étant la tension maximale que peut détecter le CAN et N le nombre de bits représentant le signal de sortie.

28 11 Exactitude : Nombre effectif de bits ENOB Ce paramètre illustre la précision effective de votre convertisseur une fois les différentes erreurs additionnées. Le calcul de ENOB est basé sur la valeur du Rapport signal sur bruit et distorsion SINAD et est donnée en retournant la formule : SNR = 6.02 N db, ce qui donne : ENOB= (SINAD-1.76dB) / Sachant que le SINAD est le rapport entre la valeur RMS du signal sinus de test et la valeur RMS de la somme des amplitudes de toutes les autres fréquences présentes dans le signal sauf la tension continue. Erreur de quantification La quantification introduit une erreur (bruit) qui correspond à une différence entre la valeur analogique et la valeur numérique codée. Ce bruit varie en dent de scie et est donné par l équation (1.4). 1 Δ Erreur de quantification = ± LSB =± (1.4) 2 2 Le LSB étant le bit le moins significatif du mot binaire ou code binaire généré par le CAN. Temps d établissement C est le temps nécessaire au convertisseur pour répondre à une variation pleine échelle du signal d entrée. C est un paramètre important si plusieurs signaux sont multiplexés pour être traités par le même CAN. D autres paramètres existent pour les CAN tels que l erreur de décalage, l erreur du gain, la distorsion harmonique, le rapport signal sur bruit (SNR) qui sont expliqués en détails dans [11]. Tous ces paramètres ont un impact sur le bruit de quantification et la résolution du convertisseur.

29 12 Suite à cette brève présentation du principe de fonctionnement des CAN nous exposons les grandes familles de ceux qui sont fréquemment utilisés, leurs avantages, inconvénients ainsi que leur domaine d utilisation dans la prochaine section 1.4. Principaux types de CAN Plusieurs types de CAN ont été développés par les chercheurs selon leur application. Certains s adaptent le mieux pour des applications à hautes fréquences, d autres pour des applications exigeant une faible consommation, dans d autre cas c est la précision et l exactitude du CAN qui sont importantes. Pour justifier notre choix des CAN Σ, il est important de présenter quelques principales architectures de CAN et leurs particularités ainsi que leurs domaines d application, ce qui est le sujet de la section suivante CAN à approximation successive La technique utilisée par cette famille de CAN consiste à approximer l entrée analogique à des valeurs binaires en utilisant un CAN tel que montré dans la Figure 1.4. En fait, un registre de n bits renferme une valeur initiale «0». Par la suite le bit de poids le plus fort est mis à «1». Le contenu du registre (SAR) est envoyé à un CNA qui le convertit en une valeur analogique. Le signal analogique obtenu sera comparé au signal d entrée. Si ce dernier est inférieur à la valeur fournie par le CNA, le bit ayant été mis à «1» est remis «0», et le bit suivant est mis à «1» et on refait la comparaison. Ainsi de suite jusqu à ce qu on atteigne le bit moins significatif (LSB). Ainsi un bit donné ne peut garder un «1» que si la valeur analogique fournie par le CNA est inférieure à la valeur du signal analogique d entrée. En conséquence, il faudra n étapes de comparaison successives pour générer la valeur numérique du signal analogique.

30 13 Σ Figure 1.4. Architecture du CAN à approximation successive Deux signaux caractérisent ce type de CAN : le signal de début de conversion et le signal de fin de conversion. Les CAN à approximation successive sont relativement rapides, précis et fournissent souvent une sortie de n-bit parallèles et quelques fois en série en commençant par le MSB sur une seule ligne de sortie. Ce genre de convertisseurs est sensible au décalage du comparateur et à la linéarité du CNA. Le temps de conversion s échelonne entre 1μs et 50μs pour une précision standard de huit à douze bits. Ce CAN parait peu sensible à la variation de la tension durant la période de conversion cependant cette dernière peut conduire à une perturbation si elle est inférieure au LSB [12]. Par contre les pics de tension très élevée et de très haute fréquence à l entrée sont complètement désastreux et peuvent conduire à un résultat complètement erroné. Cette famille de CAN est utilisée surtout pour les signaux non périodiques vu que nous pouvons activer la conversion à un instant désiré et ignorer le reste. Ils sont également adaptés pour les signaux à basse fréquence étant donné que la conversion prend assez de temps (n cycles de comparaison, n étant le nombre de bits à la sortie). Lors de l utilisation des SAR il faut absolument faire attention au crènelage (chevauchement spectral : phénomène qui est du à la présence de fréquences non souhaitées lorsque nous travaillons avec les hautes fréquences). L utilisation des filtres passe-bas s avère très utile dans des cas pareils pour supprimer les hautes fréquences indésirables.

31 14 Plusieurs recherches mettent en évidence l impact du bruit sur les CAN de type SAR et traitent en détail ce phénomène [14]. D autres recherches ont été effectuées et sont encore en cours pour améliorer les caractéristiques de ce genre de CAN comme la réduction de la puissance consommée en gardant une bonne résolution et une fréquence d échantillonnage relativement élevée [15]-[17] Convertisseur Parallèle C est de loin le convertisseur le plus rapide grâce à son architecture parallèle. L architecture de ce dernier est présentée à la Figure 1.5. n 2 1 ( Vref ) = V n ( n 1) 2 n-1 Entrée analogique n 2 2 ( Vref ) = V n ( n 2) 2 n-2 Décodeur Sortie digitale 2 ( Vref ) = V n (2) ( Vref ) = V n (1) 2 1 Figure 1.5. Architecture de base d'un CAN parallèle Le fonctionnement de ce convertisseur repose sur la comparaison de la valeur d entrée par rapport à différentes valeurs de référence à l aide de plusieurs comparateurs connectés en parallèle. Il existe 2 n -1 comparateurs pour une résolution de n bits. Une des entrées du comparateur est connectée au signal d entrée l autre est connectée à la tension de référence v(n). Cette dernière est générée à parti d un réseau de résistances jouant le rôle d un diviseur de tension À chaque comparateur est associé une tension de

32 15 référence différente selon la Figure 1.5. Les sorties de tous les comparateurs pour lesquels la tension d entrée est supérieure à la tension de référence adéquate v(p) 0<p<n reçoivent simultanément un «1» logique alors que les autres reçoivent un «0». Le décodeur convertit les 2 n -1 sorties des comparateurs en un mot binaire sur n bits. Ce décodeur est un circuit combinatoire de transcodage. Ainsi le temps de conversion qui est le temps de propagation du signal à travers les comparateurs et le décodeur est de l ordre de 10 ns à quelques 10 2 ns [12]. Les inconvénients d un tel convertisseur sont l espace important qu il occupe dans une puce électronique pour avoir une grande résolution et nécessitant des répliques exactes de tous les comparateurs. Ainsi plus on ajoute un bit dans la résolution plus la surface est grande. À titre d exemple pour une résolution de 8 bits on doit avoir 255 comparateurs et si on veut élever la résolution à 9 bits on doit multiplier cette surface par deux. Donc peu importe la technologie, bipolaire ou CMOS, l espace occupé reste toujours important. Un autre inconvénient, non négligeable, c est la charge d entrée qui est relativement importante vu l architecture parallèle de ce CAN et par suite une consommation assez importante. Cette capacité élevée en entrée limite la précision du CAN puisque l entrée n arrive plus à être chargée correctement pour procéder à sa conversion, mais généralement ceci ne peut avoir lieu que dans le cas des très hautes fréquences. De plus ce CAN n est pas approprié pour des applications où le signal change de façon relativement lente puisqu il échantillonnera la même valeur durant un intervalle assez long en fonctionnant en hautes fréquences ce qui conduit à un problème de redondance dans le signal [15] Convertisseur Sigma-Delta Tout au long de cette section nous décrirons brièvement le fonctionnement du convertisseur Σ. Une présentation détaillée de ce dernier fera l objet du 2 ème chapitre.

33 16 Principalement un convertisseur Σ est formé de deux modules : Un module analogique qui convertit un signal analogique en une suite de bits. Un filtre numérique qui convertit cette suite de bits en une valeur numérique sur n bits dépendamment de la résolution du convertisseur. Typiquement le principe de base est le sur échantillonnage, ainsi le rôle du filtre est de: Ramener la fréquence de sur-échantillonnage à celle de Nyquist, opération connue sous le nom de décimation. Éliminer le bruit du à la quantification, (la quantification est une opération effectuée à l intérieur du module analogique et qui sera aussi décrite avec plus de détails dans le chapitre suivant). En d autres termes, les filtres ne font que la moyenne des bits d une séquence donnée : Si on suppose que, suite à une entrée analogique donnée, le modulateur Σ fournit une séquence de 8192 bits, la moyenne de tous ces bits n est autre que la valeur du signal analogique. Cette approche est correcte cependant on n a pas tenu compte du bruit introduit par le modulateur, d où la nécessité d un prétraitement du signal pour supprimer le bruit et pour aller chercher l information utile [11]. Les filtres sont demeurés longtemps l outil de prédilection pour les CAN Σ. Cependant avec l apparition des nouvelles technologies comme les DSP et les FPGA, de nouvelles approches ont été développées parmi ces dernières il y a les algorithmes de décodage de la séquence générée par le modulateur Σ. Le décodage a pu voir le jour pour améliorer certains paramètres comme le SQNR (Signal Quantized to Noise Ratio) qui est le rapport signal sur bruit appliqué pour un signal numérique. L inconvénient de ce décodage est que le traitement du signal requis nécessite énormément de ressources. L idée qui a poussé les chercheurs à s orienter vers le décodage est le fait que la séquence de bits générée par le modulateur Σ renferme beaucoup plus d informations

34 17 qu un filtre numérique ne peut en extraire. En éliminant le bruit par l opération de filtrage on enlève également une partie de l information utile. D où l idée d utiliser un décodage au lieu d un filtrage. Cependant une certaine controverse s est installée : certains chercheurs considèrent que le décodage est équivalent à la décimation et d autres le considèrent comme une opération à part entière. Étant donné que cette technique demeure encore dans le domaine de la recherche, plusieurs algorithmes de décodage ont été proposés principalement pour les Σ de premier ordre [31]-[34]. La limite au décodage réside dans la complexité d implémentation des décodeurs. À l état actuel de la recherche, les décodeurs sont assez efficaces pour les Σ de 1 er ordre et pour les signaux de basses fréquences ou constants. Pour des signaux périodiques, les filtres demeurent encore plus performants que les décodeurs. Cependant, une étude détaillée des filtres et des décodeurs est présentée dans le 2 ème chapitre. De plus nous trouvons plusieurs ordres de CAN Σ [19]-[23]. L ordre d un Σ correspond au nombre de boucles de rétroactions dans le modulateur (un modulateur de 1 er ordre nous indique qu il n y a qu une seule boucle de rétroaction dans le modulateur). À titre purement informatif, à la Figure 1.6 le modèle d un Σ de 2 ème ordre est représenté, le nombre d intégrateurs est égal à l ordre du convertisseur. Σ Σ Figure 1.6. Modèle d'un convertisseur Sigma-Delta de 2 ème ordre

35 Convertisseur pipeline Cette structure s adapte le mieux pour les circuits fonctionnant à haute vitesse. Le «pipelinage» est une approche qui a souvent attiré les chercheurs et les concepteurs parce qu elle permet de lancer plusieurs opérations en même temps. L exécution de chaque phase est assurée par une unité fonctionnelle élémentaire du processus appelée étage. La durée d une phase correspond à un cycle d opérations. L idée du pipeline consiste à coordonner toutes les phases pour qu elles fonctionnent en parallèle en exécutant différentes tâches. Ce même principe a été appliqué pour les convertisseurs. Un premier module permet de générer les bits les plus significatifs, un deuxième les bits intermédiaires, alors que le premier continue à générer les bits les plus significatifs de l échantillon suivant. Un troisième étage permet de compléter la série en générant les bits les moins significatifs alors que les autres étages continuent à convertir des échantillons plus récents. En fin du compte, passé le temps de latence au début qui nécessite trois cycles de conversion, à chaque cycle on a une nouvelle valeur du signal digital qui correspond à une entrée analogique. Le principal inconvénient d une telle architecture est la nécessité de la présence d échantillonneurs/bloqueurs au niveau de chaque étage. La réalisation de ces derniers est plus délicate, ce qui représente la limitation majeure de cette architecture [10], [24]- [26] Comparaisons des CAN À ce stade il est utile de faire une comparaison entre les convertisseurs présentés dans ce chapitre. Le Tableau 1.1 résume les caractéristiques principales de ces convertisseurs.

36 19 Tableau 1.1 Caractéristiques des principales familles des CAN [27] Famille du CAN Caractéristiques Parallèle Pipe-line SAR Σ Fréquence d échantillonnage 1* Résolution Latence Multiplexage de plusieurs entrées Conversion des signaux non périodiques *1 : Très bon, 2 : Bon, 3 : Moyen, 4 : Mauvais Comme nous pouvons bien le remarquer, aucun convertisseur ne monopolise la tête du classement dans toutes les caractéristiques et chaque CAN possède son propre domaine d application. Ainsi les CAN parallèles sont utilisés pour des applications nécessitant une fréquence d échantillonnage très élevée sans donner une grande importance à la résolution. Ils permettent également le multiplexage de plusieurs entrées analogiques. Par contre les CAN Σ ont une résolution très élevée ce qui leur donne la possibilité d être utilisés dans des applications nécessitant une grande précision pour des signaux analogiques de faible fréquence par rapport aux autres types de CAN. L objectif de notre application est d avoir des signaux très précis. Les CAN Σ permettent d atteindre cet objectif, cependant ceci n est pas la seule et l unique raison. L architecture du laboratoire sur puce qui est un capteur capacitif est sous forme matricielle. Ce qui implique la nécessité d implémenter plusieurs CAN. Ainsi il est primordial d opter pour un CAN de faible complexité. Notre choix s est fixé sur les CAN Σ, parce qu ils offrent une grande précision, mais en plus ils disposent de plusieurs configurations de complexité variable. Parmi ces configurations, il y a le CAN Σ de premier ordre qui occupe un espace très faible, tout en offrant une très bonne précision. Toutefois, pour expliquer le fonctionnement d un tel convertisseur et comprendre son architecture, une description plus détaillée de ce dernier est présentée dans le deuxième chapitre.

37 Conclusion Tout au long de ce chapitre, nous avons présenté les différents types de CAN, nous avons décri brièvement leur architecture et leur principe de fonctionnement. Cependant, il existe quelques CAN qui sortent du commun vu qu ils sont conçus pour des applications bien particulières. À titre d exemple nous trouvons des CAN fonctionnant à une fréquence d échantillonnage de 20 échantillons par seconde ou bien d autres qui sont développé pour des applications vidéo. Certains CAN n offrent pas une grande flexibilité par rapport à l évolution technologique et sont voués à la disparition avec l apparition de nouveaux procédés. Par contre d autres s adaptent parfaitement bien en améliorant leurs performances en utilisant d autres approches, tel est le cas pour les CAN Σ qui ne cessent de s améliorer en développant des architectures offrant de meilleures performances. Mais avant tout il est primordial de bien comprendre le fonctionnement d un CAN avant de procéder à son amélioration ce qui fera l objet du deuxième chapitre dans lequel une description plus détaillée du convertisseur Sigma- Delta est présentée.

38 21 Chapitre 2 Le décodage dans les convertisseurs Sigma-Delta 2.1. Introduction Suite à la présentation de principaux convertisseurs analogiques numériques au chapitre 1, nous nous intéressons dans ce chapitre aux CAN Σ. Quel est l intérêt d utiliser ces derniers? Pourquoi sont-ils plus performants que d autres dans des applications particulières et surtout pourquoi le décodage et le filtrage dans le cas de ces convertisseurs est d une importance capitale? Tout au long de ce chapitre, nous répondrons à ces questions et nous montrerons à travers des différents exemples que le décodage dans les CAN Σ permet d améliorer les performances de ces convertisseurs et en particulier ceux de 1 er ordre Convertisseurs Sigma-Delta Comme nous l avons déjà mentionné dans le chapitre 1, le principe de fonctionnement d un convertisseur Σ est le sur-échantillonnage. Le modulateur fournit en sortie un signal sur 1 bit. Le signal obtenu renferme des données relatives au signal analogique modulé mais aussi du bruit provenant du quantificateur. D où la nécessité d utiliser un filtre numérique pour supprimer ce bruit tout en déplaçant la fréquence du signal dans le domaine de Nyquist. La fonction du filtre numérique et des algorithmes de décodage est d augmenter la résolution des CAN Σ tout en éliminant l erreur, ce qui est primordial dans des applications de pointe telles que les applications biomédicales.

39 22 La Figure 2.1 montre un diagramme bloc simplifié d un CAN Σ dans la partie (a) et représente en (b) le schéma typique de son modulateur. entrée Modulateur Sortie 1 bit Filtre numérique décimateur Sortie N bits (a) x n u n+1 u n + + Délai Quanti- q(u n ) - + ficateur (b) Figure 2.1. Convertisseur Σ : (a) diagramme bloc, (b) contenu du modulateur Notons que u n correspond à l échantillon n à un instant t du signal analogique. La figure 2.2 montre le principe de fonctionnement d un CAN Σ. +U et U sont les sorties du quantificateur comme montré dans l équation (2.1). Sortie intégrateur t +U -U q(u n ) t Figure 2.2. Principe de fonctionnement des convertisseurs Σ

40 23 ( ) q u n + U; 0 u; = U; u<0; (2.1) Comme montré dans la figure 2.1 les CAN Σ sont formés de plusieurs blocs dont le plus important est le quantificateur. Ci-dessous, la définition mathématique d un bloc quantificateur. M 1 M 1 Δ; Δ u; M 1 M 1 q ( u) = k Δ; ( k 1 ) Δ u kδ avec k = +,..., ; M 1 M 1 + Δ; u Δ; (2.2) où M est le nombre de niveaux existants dans un quantificateur, Δ la distance séparant deux niveaux successifs ce qui est l équivalent de Q N à la figure 1.3. Elle doit être la plus faible possible pour avoir le minimum d erreur, u étant la valeur du signal analogique. Nous pouvons également définir l erreur introduite par le bloc quantificateur comme suit : ε = qu ( ) u (2.3) cette caractéristiques q(u) est présentée à la figure 2.3 pour M = 8 et Δ= q(u) u Figure 2.3. Principe de la quantification

41 24 Après avoir défini le fonctionnement de chaque partie du convertisseur nous pouvons retrouver l équation qui régit le fonctionnement du modulateur Σ de 1 er ordre. u = x ε = u + x q( u ); n= 1,2,... (2.4) n n 1 n 1 n 1 n 1 n 1 où x n est l échantillon n du signal analogique à l entrée du modulateur et ε n l erreur de quantification Et selon l équation (2.2) on a : d où ε = qu ( ) u (2.5) n n n qu ( ) = x + ε ε (2.6) n n 1 n n 1 L information qui précède n est applicable que pour les Σ de 1 er ordre, c'est-àdire ne contenant qu une seule boucle d asservissement. Il est à noter qu un Sigma-Delta d ordre n correspond à un convertisseur avec n boucles d asservissement. À titre d exemple, un modulateur Σ de 2 ème ordre est régit par l équation (2.7). qu ( ) = ε + u = ε 2ε + ε + x (2.7) n n n n n 1 n 2 n 1 Dans ce qui suit, nous nous limitons à un modulateur Σ de 1 er ordre avec un signal d entrée DC qui constitue notre principal intérêt. Ainsi le quantificateur, peut être représenté par l équation (2.8) en remplaçant U par b dans l équation (2.1) : b, si un 0 qu ( n) = (2.8) + b, si un >0 Cette équation régissant le convertisseur du 1 er ordre demeure la même, cependant le résultat de cette dernière dépend des valeurs initiales. Cette équation limite

42 25 également le nombre de séquences pouvant être générées par un modulateur Σ. Pour expliquer cette théorie nous allons utiliser l équation (2.9) qui représente le fonctionnement du modulateur Σ de 1 er ordre mais sous une autre forme [28]. U0 ( X b, X + b) Un ( X b, X + b) pour tout n> 0 (2.9) où U 0 est la valeur initiale de l intégrateur et l entrée du modulateur. L équation (2.9) reste valide quelque soit n. X représente l échantillon x n de l équation (2.4). Cependant les différentes combinaisons de séquences pouvant être générées par un modulateur Σ sont limitées. Pour déterminer le nombre maximal de codes ou de séquences pouvant être générés par un modulateur, ainsi que le code lui-même, nous pouvons nous référer à [29]. En revenant aux équations (2.4), (2.8) et (2.9) nous pouvons constater que X est décrémentée de b - X à chaque pas si U n-1 > 0, par contre si U n-1 < 0 elle est incrémentée de b + X = 2b - (b X). Ainsi nous remarquons que X est toujours décrémentée et que si U < 0 elle est incrémenté de 2b. Bien sûr l équation (2.9) doit être toujours valide. D où la nécessité de la condition suivante : X b U0 n( b X) + 2jb X + b (2.10) où j est le nombre de bits négatifs, représenté par la valeur b dans l équation (2.8). L intervalle dynamique du quantificateur [-b, +b] peut être divisé en un ensemble d intervalles dépendamment de U 0 ainsi toutes les entrées DC situées à l intérieur d un même sous-intervalle génèrent le même code, le seuil de transition entre chaque intervalle est défini par l équation suivante : où p est entier qui est donné par X pb (2 jb+ U ) p 0 = (2.11) 1 p N 1 (2.12) N étant le nombre de bits caractéristiques du CAN et j un entier naturel tel que X ( b, + b).

Étude des Corrélations entre Paramètres Statiques et Dynamiques des Convertisseurs Analogique-Numérique en vue d optimiser leur Flot de Test

Étude des Corrélations entre Paramètres Statiques et Dynamiques des Convertisseurs Analogique-Numérique en vue d optimiser leur Flot de Test 11 juillet 2003 Étude des Corrélations entre Paramètres Statiques et Dynamiques des Convertisseurs Analogique-Numérique en vue d optimiser leur Flot de Test Mariane Comte Plan 2 Introduction et objectif

Plus en détail

ELP 304 : Électronique Numérique. Cours 1 Introduction

ELP 304 : Électronique Numérique. Cours 1 Introduction ELP 304 : Électronique Numérique Cours 1 Introduction Catherine Douillard Dépt Électronique Les systèmes numériques : généralités (I) En électronique numérique, le codage des informations utilise deux

Plus en détail

CONTEC CO., LTD. Novembre 2010

CONTEC CO., LTD. Novembre 2010 La gamme CONTEC CONTEC CO., LTD. Novembre 2010 1 Agenda Introduction Data acquisition and control Data Communication Expansion chassis and accessory Distributed I/O and media converter Stainless steel

Plus en détail

Quatre axes au service de la performance et des mutations Four lines serve the performance and changes

Quatre axes au service de la performance et des mutations Four lines serve the performance and changes Le Centre d Innovation des Technologies sans Contact-EuraRFID (CITC EuraRFID) est un acteur clé en matière de l Internet des Objets et de l Intelligence Ambiante. C est un centre de ressources, d expérimentations

Plus en détail

Forthcoming Database

Forthcoming Database DISS.ETH NO. 15802 Forthcoming Database A Framework Approach for Data Visualization Applications A dissertation submitted to the SWISS FEDERAL INSTITUTE OF TECHNOLOGY ZURICH for the degree of Doctor of

Plus en détail

INTRODUCTION A L ELECTRONIQUE NUMERIQUE ECHANTILLONNAGE ET QUANTIFICATION I. ARCHITECTURE DE L ELECRONIQUE NUMERIQUE

INTRODUCTION A L ELECTRONIQUE NUMERIQUE ECHANTILLONNAGE ET QUANTIFICATION I. ARCHITECTURE DE L ELECRONIQUE NUMERIQUE INTRODUCTION A L ELECTRONIQUE NUMERIQUE ECHANTILLONNAGE ET QUANTIFICATION I. ARCHITECTURE DE L ELECRONIQUE NUMERIQUE Le schéma synoptique ci-dessous décrit les différentes étapes du traitement numérique

Plus en détail

Transmission de données. A) Principaux éléments intervenant dans la transmission

Transmission de données. A) Principaux éléments intervenant dans la transmission Page 1 / 7 A) Principaux éléments intervenant dans la transmission A.1 Equipement voisins Ordinateur ou terminal Ordinateur ou terminal Canal de transmission ETTD ETTD ETTD : Equipement Terminal de Traitement

Plus en détail

Mini_guide_Isis.pdf le 23/09/2001 Page 1/14

Mini_guide_Isis.pdf le 23/09/2001 Page 1/14 1 Démarrer...2 1.1 L écran Isis...2 1.2 La boite à outils...2 1.2.1 Mode principal...3 1.2.2 Mode gadgets...3 1.2.3 Mode graphique...3 2 Quelques actions...4 2.1 Ouvrir un document existant...4 2.2 Sélectionner

Plus en détail

REMOTE DATA ACQUISITION OF EMBEDDED SYSTEMS USING INTERNET TECHNOLOGIES: A ROLE-BASED GENERIC SYSTEM SPECIFICATION

REMOTE DATA ACQUISITION OF EMBEDDED SYSTEMS USING INTERNET TECHNOLOGIES: A ROLE-BASED GENERIC SYSTEM SPECIFICATION REMOTE DATA ACQUISITION OF EMBEDDED SYSTEMS USING INTERNET TECHNOLOGIES: A ROLE-BASED GENERIC SYSTEM SPECIFICATION THÈSE N O 2388 (2001) PRÉSENTÉE AU DÉPARTEMENT D'INFORMATIQUE ÉCOLE POLYTECHNIQUE FÉDÉRALE

Plus en détail

QUESTION 1 {2 points}

QUESTION 1 {2 points} ELE4301 Systèmes logiques II Page 1 de 8 QUESTION 1 {2 points} En se servant de paramètres électriques donnés dans le Tableau 1 ci-dessous, on désire déterminer la fréquence d opération du compteur présenté

Plus en détail

1 Démarrer... 3 1.1 L écran Isis...3 1.2 La boite à outils...3 1.2.1 Mode principal... 4 1.2.2 Mode gadget...4 1.2.3 Mode graphique...

1 Démarrer... 3 1.1 L écran Isis...3 1.2 La boite à outils...3 1.2.1 Mode principal... 4 1.2.2 Mode gadget...4 1.2.3 Mode graphique... 1 Démarrer... 3 1.1 L écran Isis...3 1.2 La boite à outils...3 1.2.1 Mode principal... 4 1.2.2 Mode gadget...4 1.2.3 Mode graphique... 4 2 Quelques actions... 5 2.1 Ouvrir un document existant...5 2.2

Plus en détail

I- Définitions des signaux.

I- Définitions des signaux. 101011011100 010110101010 101110101101 100101010101 Du compact-disc, au DVD, en passant par l appareil photo numérique, le scanner, et télévision numérique, le numérique a fait une entrée progressive mais

Plus en détail

THÈSE. présentée à TÉLÉCOM PARISTECH. pour obtenir le grade de. DOCTEUR de TÉLÉCOM PARISTECH. Mention Informatique et Réseaux. par.

THÈSE. présentée à TÉLÉCOM PARISTECH. pour obtenir le grade de. DOCTEUR de TÉLÉCOM PARISTECH. Mention Informatique et Réseaux. par. École Doctorale d Informatique, Télécommunications et Électronique de Paris THÈSE présentée à TÉLÉCOM PARISTECH pour obtenir le grade de DOCTEUR de TÉLÉCOM PARISTECH Mention Informatique et Réseaux par

Plus en détail

GPA770 Microélectronique appliquée Exercices série A

GPA770 Microélectronique appliquée Exercices série A GPA770 Microélectronique appliquée Exercices série A 1. Effectuez les calculs suivants sur des nombres binaires en complément à avec une représentation de 8 bits. Est-ce qu il y a débordement en complément

Plus en détail

English Q&A #1 Braille Services Requirement PPTC 144918. Q1. Would you like our proposal to be shipped or do you prefer an electronic submission?

English Q&A #1 Braille Services Requirement PPTC 144918. Q1. Would you like our proposal to be shipped or do you prefer an electronic submission? English Q&A #1 Braille Services Requirement PPTC 144918 Q1. Would you like our proposal to be shipped or do you prefer an electronic submission? A1. Passport Canada requests that bidders provide their

Plus en détail

AGROBASE : un système de gestion de données expérimentales

AGROBASE : un système de gestion de données expérimentales AGROBASE : un système de gestion de données expérimentales Daniel Wallach, Jean-Pierre RELLIER To cite this version: Daniel Wallach, Jean-Pierre RELLIER. AGROBASE : un système de gestion de données expérimentales.

Plus en détail

Mini_guide_Isis_v6.doc le 10/02/2005 Page 1/15

Mini_guide_Isis_v6.doc le 10/02/2005 Page 1/15 1 Démarrer... 2 1.1 L écran Isis... 2 1.2 Les barres d outils... 3 1.2.1 Les outils d édition... 3 1.2.2 Les outils de sélection de mode... 4 1.2.3 Les outils d orientation... 4 2 Quelques actions... 5

Plus en détail

TABLE DES MATIÈRES 1. DÉMARRER ISIS 2 2. SAISIE D UN SCHÉMA 3 & ' " ( ) '*+ ", ##) # " -. /0 " 1 2 " 3. SIMULATION 7 " - 4.

TABLE DES MATIÈRES 1. DÉMARRER ISIS 2 2. SAISIE D UN SCHÉMA 3 & '  ( ) '*+ , ##) #  -. /0  1 2  3. SIMULATION 7  - 4. TABLE DES MATIÈRES 1. DÉMARRER ISIS 2 2. SAISIE D UN SCHÉMA 3! " #$ % & ' " ( ) '*+ ", ##) # " -. /0 " 1 2 " 3' & 3. SIMULATION 7 0 ( 0, - 0 - " - & 1 4. LA SOURIS 11 5. LES RACCOURCIS CLAVIER 11 STI Electronique

Plus en détail

Numérisation du signal

Numérisation du signal Chapitre 12 Sciences Physiques - BTS Numérisation du signal 1 Analogique - Numérique. 1.1 Définitions. Signal analogique : un signal analogique s a (t)est un signal continu dont la valeur varie en fonction

Plus en détail

Exemple PLS avec SAS

Exemple PLS avec SAS Exemple PLS avec SAS This example, from Umetrics (1995), demonstrates different ways to examine a PLS model. The data come from the field of drug discovery. New drugs are developed from chemicals that

Plus en détail

TP Modulation Démodulation BPSK

TP Modulation Démodulation BPSK I- INTRODUCTION : TP Modulation Démodulation BPSK La modulation BPSK est une modulation de phase (Phase Shift Keying = saut discret de phase) par signal numérique binaire (Binary). La phase d une porteuse

Plus en détail

ADHEFILM : tronçonnage. ADHEFILM : cutting off. ADHECAL : fabrication. ADHECAL : manufacturing.

ADHEFILM : tronçonnage. ADHEFILM : cutting off. ADHECAL : fabrication. ADHECAL : manufacturing. LA MAÎTRISE D UN MÉTIER Depuis plus de 20 ans, ADHETEC construit sa réputation sur la qualité de ses films adhésifs. Par la maîtrise de notre métier, nous apportons à vos applications la force d une offre

Plus en détail

0 20mV; 0 40mV; 0 80mV; 0 160mV; 0 320mV; 0 640mV; 0 1,28V; 0 2,56V 0 5V; 0 10V

0 20mV; 0 40mV; 0 80mV; 0 160mV; 0 320mV; 0 640mV; 0 1,28V; 0 2,56V 0 5V; 0 10V ITM carte d entrèes analogues INTERFACES DE PROCES La carte ITM a 8 isolées entrées analogiques, chaque canal a un 16 bit A/N convertisseurs, avec une haute stabilité et une très haute rapport de réjection

Plus en détail

Interest Rate for Customs Purposes Regulations. Règlement sur le taux d intérêt aux fins des douanes CONSOLIDATION CODIFICATION

Interest Rate for Customs Purposes Regulations. Règlement sur le taux d intérêt aux fins des douanes CONSOLIDATION CODIFICATION CANADA CONSOLIDATION CODIFICATION Interest Rate for Customs Purposes Regulations Règlement sur le taux d intérêt aux fins des douanes SOR/86-1121 DORS/86-1121 Current to August 4, 2015 À jour au 4 août

Plus en détail

1. PRESENTATION DU PROJET

1. PRESENTATION DU PROJET Bac STI2D Formation des enseignants Jean-François LIEBAUT Denis PENARD SIN 63 : Prototypage d un traitement de l information analogique et numérique (PSoC) 1. PRESENTATION DU PROJET Les systèmes d éclairage

Plus en détail

J AUVRAY Systèmes Electroniques TRANSMISSION DES SIGNAUX NUMERIQUES : SIGNAUX EN BANDE DE BASE

J AUVRAY Systèmes Electroniques TRANSMISSION DES SIGNAUX NUMERIQUES : SIGNAUX EN BANDE DE BASE RANSMISSION DES SIGNAUX NUMERIQUES : SIGNAUX EN BANDE DE BASE Un message numérique est une suite de nombres que l on considérera dans un premier temps comme indépendants.ils sont codés le plus souvent

Plus en détail

Principe de symétrisation pour la construction d un test adaptatif

Principe de symétrisation pour la construction d un test adaptatif Principe de symétrisation pour la construction d un test adaptatif Cécile Durot 1 & Yves Rozenholc 2 1 UFR SEGMI, Université Paris Ouest Nanterre La Défense, France, cecile.durot@gmail.com 2 Université

Plus en détail

MapReduce. Malo Jaffré, Pablo Rauzy. 16 avril 2010 ENS. Malo Jaffré, Pablo Rauzy (ENS) MapReduce 16 avril 2010 1 / 15

MapReduce. Malo Jaffré, Pablo Rauzy. 16 avril 2010 ENS. Malo Jaffré, Pablo Rauzy (ENS) MapReduce 16 avril 2010 1 / 15 MapReduce Malo Jaffré, Pablo Rauzy ENS 16 avril 2010 Malo Jaffré, Pablo Rauzy (ENS) MapReduce 16 avril 2010 1 / 15 Qu est ce que c est? Conceptuellement Données MapReduce est un framework de calcul distribué

Plus en détail

Systèmes de transmission

Systèmes de transmission Systèmes de transmission Conception d une transmission série FABRE Maxime 2012 Introduction La transmission de données désigne le transport de quelque sorte d'information que ce soit, d'un endroit à un

Plus en détail

User guide Conference phone Konftel 100

User guide Conference phone Konftel 100 User guide Conference phone Konftel 100 English I Español I Conference phones for every situation Cet emballage contient: 1 x Guide de l utilisateur 1 x Téléphone pour conférences 1 x Transformateur secteur

Plus en détail

physicien diplômé EPFZ originaire de France présentée acceptée sur proposition Thèse no. 7178

physicien diplômé EPFZ originaire de France présentée acceptée sur proposition Thèse no. 7178 Thèse no. 7178 PROBLEMES D'OPTIMISATION DANS LES SYSTEMES DE CHAUFFAGE A DISTANCE présentée à l'ecole POLYTECHNIQUE FEDERALE DE ZURICH pour l'obtention du titre de Docteur es sciences naturelles par Alain

Plus en détail

CHAPITRE V. Théorie de l échantillonnage et de la quantification

CHAPITRE V. Théorie de l échantillonnage et de la quantification CHAPITRE V Théorie de l échantillonnage et de la quantification Olivier FRANÇAIS, SOMMAIRE I INTRODUCTION... 3 II THÉORIE DE L ÉCHANTILLONNAGE... 3 II. ACQUISITION DES SIGNAUX... 3 II. MODÉLISATION DE

Plus en détail

Technique de codage des formes d'ondes

Technique de codage des formes d'ondes Technique de codage des formes d'ondes Contenu Introduction Conditions préalables Conditions requises Composants utilisés Conventions Modulation par impulsions et codage Filtrage Échantillon Numérisez

Plus en détail

Qualité de la conception de tests logiciels : plate-forme de conception et processus de test

Qualité de la conception de tests logiciels : plate-forme de conception et processus de test Ecole Doctorale en Sciences de l Ingénieur de l ECP Formation doctorale en Génie Industriel Qualité de la conception de tests logiciels : plate-forme de conception et processus de test Quality of the design

Plus en détail

Ships Elevator Regulations. Règlement sur les ascenseurs de navires CODIFICATION CONSOLIDATION. C.R.C., c. 1482 C.R.C., ch. 1482

Ships Elevator Regulations. Règlement sur les ascenseurs de navires CODIFICATION CONSOLIDATION. C.R.C., c. 1482 C.R.C., ch. 1482 CANADA CONSOLIDATION CODIFICATION Ships Elevator Regulations Règlement sur les ascenseurs de navires C.R.C., c. 1482 C.R.C., ch. 1482 Current to September 10, 2015 À jour au 10 septembre 2015 Last amended

Plus en détail

iqtool - Outil e-learning innovateur pour enseigner la Gestion de Qualité au niveau BAC+2

iqtool - Outil e-learning innovateur pour enseigner la Gestion de Qualité au niveau BAC+2 iqtool - Outil e-learning innovateur pour enseigner la Gestion de Qualité au niveau BAC+2 134712-LLP-2007-HU-LEONARDO-LMP 1 Information sur le projet iqtool - Outil e-learning innovateur pour enseigner

Plus en détail

Cheque Holding Policy Disclosure (Banks) Regulations. Règlement sur la communication de la politique de retenue de chèques (banques) CONSOLIDATION

Cheque Holding Policy Disclosure (Banks) Regulations. Règlement sur la communication de la politique de retenue de chèques (banques) CONSOLIDATION CANADA CONSOLIDATION CODIFICATION Cheque Holding Policy Disclosure (Banks) Regulations Règlement sur la communication de la politique de retenue de chèques (banques) SOR/2002-39 DORS/2002-39 Current to

Plus en détail

ÉCOLE POLYTECHNIQUE DE MONTRÉAL. Département de Génie Électrique. La technologie de TEXAS INSTRUMENTS DSP pour le cours Analyse des Signaux ELE2700

ÉCOLE POLYTECHNIQUE DE MONTRÉAL. Département de Génie Électrique. La technologie de TEXAS INSTRUMENTS DSP pour le cours Analyse des Signaux ELE2700 ÉCOLE POLYTECHNIQUE DE MONTRÉAL Département de Génie Électrique La technologie de TEXAS INSTRUMENTS DSP pour le cours Analyse des Signaux ELE2700 M. Corinthios et Zaher Dannawi 29 août 2007 2 Tables des

Plus en détail

Expérience 3 Formats de signalisation binaire

Expérience 3 Formats de signalisation binaire Expérience 3 Formats de signalisation binaire Introduction Procédures Effectuez les commandes suivantes: >> xhost nat >> rlogin nat >> setenv DISPLAY machine:0 >> setenv MATLABPATH /gel/usr/telecom/comm_tbx

Plus en détail

Editing and managing Systems engineering processes at Snecma

Editing and managing Systems engineering processes at Snecma Editing and managing Systems engineering processes at Snecma Atego workshop 2014-04-03 Ce document et les informations qu il contient sont la propriété de Ils ne doivent pas être copiés ni communiqués

Plus en détail

Quantification Scalaire et Prédictive

Quantification Scalaire et Prédictive Quantification Scalaire et Prédictive Marco Cagnazzo Département Traitement du Signal et des Images TELECOM ParisTech 7 Décembre 2012 M. Cagnazzo Quantification Scalaire et Prédictive 1/64 Plan Introduction

Plus en détail

SCHOLARSHIP ANSTO FRENCH EMBASSY (SAFE) PROGRAM 2015-2 APPLICATION FORM

SCHOLARSHIP ANSTO FRENCH EMBASSY (SAFE) PROGRAM 2015-2 APPLICATION FORM SCHOLARSHIP ANSTO FRENCH EMBASSY (SAFE) PROGRAM 2015-2 APPLICATION FORM APPLICATION FORM / FORMULAIRE DE CANDIDATURE Note: If there is insufficient space to answer a question, please attach additional

Plus en détail

PACKZ System Requirements. Version: 2015-05-27. Version: 2015-05-27 Copyright 2015, PACKZ Software GmbH. 1

PACKZ System Requirements. Version: 2015-05-27. Version: 2015-05-27 Copyright 2015, PACKZ Software GmbH. 1 PACKZ System Requirements Version: 2015-05-27 Copyright 2015, PACKZ Software GmbH. All rights reserved.this manual may not be copied, photocopied, reproduced, translated, or converted to any electronic

Plus en détail

CLIM/GTP/27/8 ANNEX III/ANNEXE III. Category 1 New indications/ 1 re catégorie Nouvelles indications

CLIM/GTP/27/8 ANNEX III/ANNEXE III. Category 1 New indications/ 1 re catégorie Nouvelles indications ANNEX III/ANNEXE III PROPOSALS FOR CHANGES TO THE NINTH EDITION OF THE NICE CLASSIFICATION CONCERNING AMUSEMENT APPARATUS OR APPARATUS FOR GAMES/ PROPOSITIONS DE CHANGEMENTS À APPORTER À LA NEUVIÈME ÉDITION

Plus en détail

Echantillonnage Non uniforme

Echantillonnage Non uniforme Echantillonnage Non uniforme Marie CHABERT IRIT/INP-ENSEEIHT/ ENSEEIHT/TéSASA Patrice MICHEL et Bernard LACAZE TéSA 1 Plan Introduction Echantillonnage uniforme Echantillonnage irrégulier Comparaison Cas

Plus en détail

Chapitre I La fonction transmission

Chapitre I La fonction transmission Chapitre I La fonction transmission 1. Terminologies 1.1 Mode guidé / non guidé Le signal est le vecteur de l information à transmettre. La transmission s effectue entre un émetteur et un récepteur reliés

Plus en détail

Cedric Dumoulin (C) The Java EE 7 Tutorial http://docs.oracle.com/javaee/7/tutorial/doc/

Cedric Dumoulin (C) The Java EE 7 Tutorial http://docs.oracle.com/javaee/7/tutorial/doc/ Cedric Dumoulin (C) The Java EE 7 Tutorial http://docs.oracle.com/javaee/7/tutorial/doc/ Webographie The Java EE 7 Tutorial http://docs.oracle.com/javaee/7/tutorial/doc/ Les slides de cette présentation

Plus en détail

Fonctions de la couche physique

Fonctions de la couche physique La Couche physique 01010110 01010110 Couche physique Signal Médium Alain AUBERT alain.aubert@telecom-st-etienne.r 0 Fonctions de la couche physique 1 1 Services assurés par la couche physique Transmettre

Plus en détail

sur le réseau de distribution

sur le réseau de distribution EDF-R&D Département MIRE et Département EFESE 1, avenue du Général de Gaulle 92141 Clamart Impact qualité du site photovoltaïque "Association Soleil Marguerite" sur le réseau de distribution Responsable

Plus en détail

RAPID 3.34 - Prenez le contrôle sur vos données

RAPID 3.34 - Prenez le contrôle sur vos données RAPID 3.34 - Prenez le contrôle sur vos données Parmi les fonctions les plus demandées par nos utilisateurs, la navigation au clavier et la possibilité de disposer de champs supplémentaires arrivent aux

Plus en détail

Règlement relatif à l examen fait conformément à la Déclaration canadienne des droits. Canadian Bill of Rights Examination Regulations CODIFICATION

Règlement relatif à l examen fait conformément à la Déclaration canadienne des droits. Canadian Bill of Rights Examination Regulations CODIFICATION CANADA CONSOLIDATION CODIFICATION Canadian Bill of Rights Examination Regulations Règlement relatif à l examen fait conformément à la Déclaration canadienne des droits C.R.C., c. 394 C.R.C., ch. 394 Current

Plus en détail

Information. BASES LITTERAIRES Etre capable de répondre à une question du type «la valeur trouvée respecte t-elle le cahier des charges?

Information. BASES LITTERAIRES Etre capable de répondre à une question du type «la valeur trouvée respecte t-elle le cahier des charges? Compétences générales Avoir des piles neuves, ou récentes dans sa machine à calculer. Etre capable de retrouver instantanément une info dans sa machine. Prendre une bouteille d eau. Prendre CNI + convocation.

Plus en détail

Le No.1 de l économie d énergie pour patinoires.

Le No.1 de l économie d énergie pour patinoires. Le No.1 de l économie d énergie pour patinoires. Partner of REALice system Economie d énergie et une meilleure qualité de glace La 2ème génération améliorée du système REALice bien connu, est livré en

Plus en détail

Surveillance de Scripts LUA et de réception d EVENT. avec LoriotPro Extended & Broadcast Edition

Surveillance de Scripts LUA et de réception d EVENT. avec LoriotPro Extended & Broadcast Edition Surveillance de Scripts LUA et de réception d EVENT avec LoriotPro Extended & Broadcast Edition L objectif de ce document est de présenter une solution de surveillance de processus LUA au sein de la solution

Plus en détail

La conversion de données : Convertisseur Analogique Numérique (CAN) Convertisseur Numérique Analogique (CNA)

La conversion de données : Convertisseur Analogique Numérique (CAN) Convertisseur Numérique Analogique (CNA) La conversion de données : Convertisseur Analogique Numérique (CAN) Convertisseur Numérique Analogique (CNA) I. L'intérêt de la conversion de données, problèmes et définitions associés. I.1. Définitions:

Plus en détail

INSTITUT MARITIME DE PREVENTION. For improvement in health and security at work. Created in 1992 Under the aegis of State and the ENIM

INSTITUT MARITIME DE PREVENTION. For improvement in health and security at work. Created in 1992 Under the aegis of State and the ENIM INSTITUT MARITIME DE PREVENTION For improvement in health and security at work Created in 1992 Under the aegis of State and the ENIM Maritime fishing Shellfish-farming Sea transport 2005 Le pilier social

Plus en détail

UE 503 L3 MIAGE. Initiation Réseau et Programmation Web La couche physique. A. Belaïd

UE 503 L3 MIAGE. Initiation Réseau et Programmation Web La couche physique. A. Belaïd UE 503 L3 MIAGE Initiation Réseau et Programmation Web La couche physique A. Belaïd abelaid@loria.fr http://www.loria.fr/~abelaid/ Année Universitaire 2011/2012 2 Le Modèle OSI La couche physique ou le

Plus en détail

AVOB sélectionné par Ovum

AVOB sélectionné par Ovum AVOB sélectionné par Ovum Sources : Ovum ovum.com «Selecting a PC Power Management Solution Vendor» L essentiel sur l étude Ovum AVOB sélectionné par Ovum 1 L entreprise britannique OVUM est un cabinet

Plus en détail

Le signal GPS. Les horloges atomiques à bord des satellites GPS produisent une fréquence fondamentale f o = 10.23 Mhz

Le signal GPS. Les horloges atomiques à bord des satellites GPS produisent une fréquence fondamentale f o = 10.23 Mhz Le signal GPS Les horloges atomiques à bord des satellites GPS produisent une fréquence fondamentale f o = 10.23 Mhz Deux signaux en sont dérivés: L1 (fo x 154) = 1.57542 GHz, longueur d onde = 19.0 cm

Plus en détail

LOI SUR LA RECONNAISSANCE DE L'ADOPTION SELON LES COUTUMES AUTOCHTONES ABORIGINAL CUSTOM ADOPTION RECOGNITION ACT

LOI SUR LA RECONNAISSANCE DE L'ADOPTION SELON LES COUTUMES AUTOCHTONES ABORIGINAL CUSTOM ADOPTION RECOGNITION ACT ABORIGINAL CUSTOM ADOPTION RECOGNITION ACT ABORIGINAL CUSTOM ADOPTION RECOGNITION REGULATIONS R-085-95 In force September 30, 1995 LOI SUR LA RECONNAISSANCE DE L'ADOPTION SELON LES COUTUMES AUTOCHTONES

Plus en détail

Surveillance et maintenance prédictive : évaluation de la latence de fautes. Zineb SIMEU-ABAZI Univ. Joseph Fourier, LAG)

Surveillance et maintenance prédictive : évaluation de la latence de fautes. Zineb SIMEU-ABAZI Univ. Joseph Fourier, LAG) Surveillance et maintenance prédictive : évaluation de la latence de fautes Zineb SIMEU-ABAZI Univ. Joseph Fourier, LAG) SURVEILLANCE Analyser une situation et fournir des indicateurs! Détection de symptômes!

Plus en détail

Filtres passe-bas. On utilise les filtres passe-bas pour réduire l amplitude des composantes de fréquences supérieures à la celle de la coupure.

Filtres passe-bas. On utilise les filtres passe-bas pour réduire l amplitude des composantes de fréquences supérieures à la celle de la coupure. Filtres passe-bas Ce court document expose les principes des filtres passe-bas, leurs caractéristiques en fréquence et leurs principales topologies. Les éléments de contenu sont : Définition du filtre

Plus en détail

Extrait des Exploitations Pédagogiques

Extrait des Exploitations Pédagogiques Pédagogiques Module : Compétitivité et créativité CI Première : Compétitivité et créativité CI institutionnel : Développement durable et compétitivité des produits Support : Robot - O : Caractériser les

Plus en détail

Filtrage stochastique non linéaire par la théorie de représentation des martingales

Filtrage stochastique non linéaire par la théorie de représentation des martingales Filtrage stochastique non linéaire par la théorie de représentation des martingales Adriana Climescu-Haulica Laboratoire de Modélisation et Calcul Institut d Informatique et Mathématiques Appliquées de

Plus en détail

Once the installation is complete, you can delete the temporary Zip files..

Once the installation is complete, you can delete the temporary Zip files.. Sommaire Installation... 2 After the download... 2 From a CD... 2 Access codes... 2 DirectX Compatibility... 2 Using the program... 2 Structure... 4 Lier une structure à une autre... 4 Personnaliser une

Plus en détail

Spécial Catégorie 6 Patch Cords

Spécial Catégorie 6 Patch Cords Spécial Catégorie 6 Patch Cords Patent Pending Sommaire 1 - Préliminaires... 2 2 Qu est ce qu apporte la catégorie 6... 3 3 Qu est ce que l interopérabilité...3 4 Ce que PatchSee annonçait en septembre

Plus en détail

Improving the breakdown of the Central Credit Register data by category of enterprises

Improving the breakdown of the Central Credit Register data by category of enterprises Improving the breakdown of the Central Credit Register data by category of enterprises Workshop on Integrated management of micro-databases Deepening business intelligence within central banks statistical

Plus en détail

Codage d information. Codage d information : -Définition-

Codage d information. Codage d information : -Définition- Introduction Plan Systèmes de numération et Représentation des nombres Systèmes de numération Système de numération décimale Représentation dans une base b Représentation binaire, Octale et Hexadécimale

Plus en détail

Material Banking Group Percentage Regulations. Règlement fixant le pourcentage (groupe bancaire important) CONSOLIDATION CODIFICATION

Material Banking Group Percentage Regulations. Règlement fixant le pourcentage (groupe bancaire important) CONSOLIDATION CODIFICATION CANADA CONSOLIDATION CODIFICATION Material Banking Group Percentage Regulations Règlement fixant le pourcentage (groupe bancaire important) SOR/2008-163 DORS/2008-163 Current to August 30, 2015 À jour

Plus en détail

Transmission d informations sur le réseau électrique

Transmission d informations sur le réseau électrique Transmission d informations sur le réseau électrique Introduction Remarques Toutes les questions en italique devront être préparées par écrit avant la séance du TP. Les préparations seront ramassées en

Plus en détail

Support Orders and Support Provisions (Banks and Authorized Foreign Banks) Regulations

Support Orders and Support Provisions (Banks and Authorized Foreign Banks) Regulations CANADA CONSOLIDATION CODIFICATION Support Orders and Support Provisions (Banks and Authorized Foreign Banks) Regulations Règlement sur les ordonnances alimentaires et les dispositions alimentaires (banques

Plus en détail

SAINT-GOBAIN. DSI Groupe. Un outil ITSM pour renforcer la Gouvernance. V. Broussin 26 février 2013

SAINT-GOBAIN. DSI Groupe. Un outil ITSM pour renforcer la Gouvernance. V. Broussin 26 février 2013 SAINT-GOBAIN DSI Groupe Un outil ITSM pour renforcer la Gouvernance V. Broussin 26 février 2013 Saint-Gobain, parmi les cent principaux groupes industriels dans le monde PRÉSENT DANS 64 PAYS Chiffre d

Plus en détail

Optimized Protocol Stack for Virtualized Converged Enhanced Ethernet

Optimized Protocol Stack for Virtualized Converged Enhanced Ethernet Diss. ETH No. 22127 Optimized Protocol Stack for Virtualized Converged Enhanced Ethernet A thesis submitted to attain the degree of DOCTOR OF SCIENCES of ETH ZURICH (Dr. sc. ETH Zurich) presented by Daniel

Plus en détail

Systèmes de communications numériques 2

Systèmes de communications numériques 2 Systèmes de Communications Numériques Philippe Ciuciu, Christophe Vignat Laboratoire des Signaux et Systèmes CNRS SUPÉLEC UPS SUPÉLEC, Plateau de Moulon, 91192 Gif-sur-Yvette ciuciu@lss.supelec.fr Université

Plus en détail

Chaine de transmission

Chaine de transmission Chaine de transmission Chaine de transmission 1. analogiques à l origine 2. convertis en signaux binaires Échantillonnage + quantification + codage 3. brassage des signaux binaires Multiplexage 4. séparation

Plus en détail

Plateforme Technologique Innovante. Innovation Center for equipment& materials

Plateforme Technologique Innovante. Innovation Center for equipment& materials Plateforme Technologique Innovante Innovation Center for equipment& materials Le Besoin Centre indépendant d évaluation des nouveaux produits, procédés et services liés à la fabrication des Micro-Nanotechnologies

Plus en détail

La couche physique de l ADSL (voie descendante)

La couche physique de l ADSL (voie descendante) La couche physique de l ADSL (voie descendante) Philippe Ciblat École Nationale Supérieure des Télécommunications, Paris, France Problématique qq kilomètres CENTRAL câble de 0,4mm Objectifs initiaux :

Plus en détail

Credit Note and Debit Note Information (GST/ HST) Regulations

Credit Note and Debit Note Information (GST/ HST) Regulations CANADA CONSOLIDATION CODIFICATION Credit Note and Debit Note Information (GST/ HST) Regulations Règlement sur les renseignements à inclure dans les notes de crédit et les notes de débit (TPS/ TVH) SOR/91-44

Plus en détail

Equipement. électronique

Equipement. électronique MASTER ISIC Les générateurs de fonctions 1 1. Avant-propos C est avec l oscilloscope, le multimètre et l alimentation stabilisée, l appareil le plus répandu en laboratoire. BUT: Fournir des signau électriques

Plus en détail

Archived Content. Contenu archivé

Archived Content. Contenu archivé ARCHIVED - Archiving Content ARCHIVÉE - Contenu archivé Archived Content Contenu archivé Information identified as archived is provided for reference, research or recordkeeping purposes. It is not subject

Plus en détail

How to Login to Career Page

How to Login to Career Page How to Login to Career Page BASF Canada July 2013 To view this instruction manual in French, please scroll down to page 16 1 Job Postings How to Login/Create your Profile/Sign Up for Job Posting Notifications

Plus en détail

INSERTION TECHNIQUES FOR JOB SHOP SCHEDULING

INSERTION TECHNIQUES FOR JOB SHOP SCHEDULING INSERTION TECHNIQUES FOR JOB SHOP SCHEDULING ÉCOLE POLYTECHNIQUE FÉDÉRALE DE LAUSANNE POUR L'OBTENTION DU GRADE DE DOCTEUR ES SCIENCES PAR Tamas KIS Informaticien mathématicien diplômé de l'université

Plus en détail

An Ontology-Based Approach for Closed-Loop Product Lifecycle Management

An Ontology-Based Approach for Closed-Loop Product Lifecycle Management An Ontology-Based Approach for Closed-Loop Product Lifecycle Management THÈSE N O 4823 (2010) PRÉSENTÉE LE 15 OCTOBRE 2010 À LA FACULTÉ SCIENCES ET TECHNIQUES DE L'INGÉNIEUR LABORATOIRE DES OUTILS INFORMATIQUES

Plus en détail

Moteur DC: Comment faire varier sa vitesse?

Moteur DC: Comment faire varier sa vitesse? Moteur DC: Comment faire varier sa vitesse? Zone d'utilisation Moteur à excitation shunt Influence I e Petite perturbation : e.g. augmentation vitesse À partir de P : couple moteur P'' < couple résistant

Plus en détail

Networking Solutions. Worldwide VSAT Maintenance VSAT dans le Monde Entretien. Satellite Communications Les Communications par Satellite

Networking Solutions. Worldwide VSAT Maintenance VSAT dans le Monde Entretien. Satellite Communications Les Communications par Satellite www.dcs-eg.com DCS TELECOM SAE is an Egyptian based Information Technology System Integrator company specializes in tailored solutions and integrated advanced systems, while also excelling at consulting

Plus en détail

HAUTE DISPONIBILITÉ DE MACHINE VIRTUELLE AVEC HYPER-V 2012 R2 PARTIE CONFIGURATION OPENVPN SUR PFSENSE

HAUTE DISPONIBILITÉ DE MACHINE VIRTUELLE AVEC HYPER-V 2012 R2 PARTIE CONFIGURATION OPENVPN SUR PFSENSE HAUTE DISPONIBILITÉ DE MACHINE VIRTUELLE AVEC HYPER-V 2012 R2 PARTIE CONFIGURATION OPENVPN SUR PFSENSE Projet de semestre ITI soir 4ème année Résumé configuration OpenVpn sur pfsense 2.1 Etudiant :Tarek

Plus en détail

THE EVOLUTION OF CONTENT CONSUMPTION ON MOBILE AND TABLETS

THE EVOLUTION OF CONTENT CONSUMPTION ON MOBILE AND TABLETS THE EVOLUTION OF CONTENT CONSUMPTION ON MOBILE AND TABLETS OPPA investigated in March 2013 its members, in order to design a clear picture of the traffic on all devices, browsers and apps. One year later

Plus en détail

! analyse du fonctionnement

! analyse du fonctionnement Coloreau chaude MT V P1 V MT! Le composant repéré TH1 sur le schéma structurel et une thermistance. Son rôle est de détecter une grandeur physique la température, et de la convertir en une grandeur électrique

Plus en détail

TP: Représentation des signaux binaires. 1 Simulation d un message binaire - Codage en ligne

TP: Représentation des signaux binaires. 1 Simulation d un message binaire - Codage en ligne Objectifs : Ce TP est relatif aux différentes méthodes de codage d une information binaire, et à la transmission en bande de base de cette information. Les grandes lignes de ce TP sont l étude des méthodes

Plus en détail

Instructions Mozilla Thunderbird Page 1

Instructions Mozilla Thunderbird Page 1 Instructions Mozilla Thunderbird Page 1 Instructions Mozilla Thunderbird Ce manuel est écrit pour les utilisateurs qui font déjà configurer un compte de courrier électronique dans Mozilla Thunderbird et

Plus en détail

Stratégie DataCenters Société Générale Enjeux, objectifs et rôle d un partenaire comme Data4

Stratégie DataCenters Société Générale Enjeux, objectifs et rôle d un partenaire comme Data4 Stratégie DataCenters Société Générale Enjeux, objectifs et rôle d un partenaire comme Data4 Stéphane MARCHINI Responsable Global des services DataCenters Espace Grande Arche Paris La Défense SG figures

Plus en détail

Projet audio. Analyse des Signaux ELE2700

Projet audio. Analyse des Signaux ELE2700 ÉCOLE POLYTECHNIQUE DE MONTRÉAL Département de Génie Électrique Projet audio Analyse des Signaux ELE2700 Saad Chidami - 2014 Table des matières Objectif du laboratoire... 4 Caractérisation du bruit...

Plus en détail

Institut français des sciences et technologies des transports, de l aménagement

Institut français des sciences et technologies des transports, de l aménagement Institut français des sciences et technologies des transports, de l aménagement et des réseaux Session 3 Big Data and IT in Transport: Applications, Implications, Limitations Jacques Ehrlich/IFSTTAR h/ifsttar

Plus en détail

CEST POUR MIEUX PLACER MES PDF

CEST POUR MIEUX PLACER MES PDF CEST POUR MIEUX PLACER MES PDF ==> Download: CEST POUR MIEUX PLACER MES PDF CEST POUR MIEUX PLACER MES PDF - Are you searching for Cest Pour Mieux Placer Mes Books? Now, you will be happy that at this

Plus en détail

xdsl Digital Suscriber Line «Utiliser la totalité de la bande passante du cuivre»

xdsl Digital Suscriber Line «Utiliser la totalité de la bande passante du cuivre» xdsl Digital Suscriber Line «Utiliser la totalité de la bande passante du cuivre» Le marché en France ~ 9 millions d abonnés fin 2005 ~ 6 millions fin 2004 dont la moitié chez l opérateur historique et

Plus en détail

Eléments de statistique

Eléments de statistique Eléments de statistique L. Wehenkel Cours du 9/12/2014 Méthodes multivariées; applications & recherche Quelques méthodes d analyse multivariée NB: illustration sur base de la BD résultats de probas en

Plus en détail

- MANIP 2 - APPLICATION À LA MESURE DE LA VITESSE DE LA LUMIÈRE

- MANIP 2 - APPLICATION À LA MESURE DE LA VITESSE DE LA LUMIÈRE - MANIP 2 - - COÏNCIDENCES ET MESURES DE TEMPS - APPLICATION À LA MESURE DE LA VITESSE DE LA LUMIÈRE L objectif de cette manipulation est d effectuer une mesure de la vitesse de la lumière sur une «base

Plus en détail

Exercices sur SQL server 2000

Exercices sur SQL server 2000 Exercices sur SQL server 2000 La diagramme de classe : Exercices sur SQL server 2000 Le modèle relationnel correspondant : 1 Créer les tables Clic-droit on Tables et choisir «New Table» Créer la table

Plus en détail

LABO 5 ET 6 TRAITEMENT DE SIGNAL SOUS SIMULINK

LABO 5 ET 6 TRAITEMENT DE SIGNAL SOUS SIMULINK LABO 5 ET 6 TRAITEMENT DE SIGNAL SOUS SIMULINK 5.1 Introduction Simulink est l'extension graphique de MATLAB permettant, d une part de représenter les fonctions mathématiques et les systèmes sous forme

Plus en détail