Architecture des ordinateurs - TD 08

Dimension: px
Commencer à balayer dès la page:

Download "Architecture des ordinateurs - TD 08"

Transcription

1 Architecture des ordinateurs - T 08 1 Logique asynchrone 1.1 ascule Voici le diagramme d une bascule ainsi que son implémentation avec deux portes NO. 1. Écrire la table de vérité pour,, et. Attention certains états peuvent être indéterminés Attention le dernier état est interdit : Il viole la convention = not Le passage de 1,1 à 0,0 peut mettre la bascule dans un état indéterminé. 2. oit l état actuel d une bascule et + l état suivant. Écrire la table de vérité reliant,+, et. 3. Trouver l équation logique minimale pour cette table de vérité (f(,, ) = + ). (Utilisez la méthode de votre choix : Karnaugh, etc.) Cette équation est l équation caractéristique de la bascule. 4. xpliquer le fonctionnement de la bascule avec des mots X X 0 + = ( + ) 5. xpliquer le fonctionnement de la bascule avec des mots. ans une bascule, soit le bit d entrée (pour et) est à 1, ce qui force le passage à 1 de la sortie, soit le bit d entrée (pour eset) est à 1, ce qui force le passage à 0 de. Les entrées et ne peuvent être mises à 1 simultanément. i elles sont toutes les 2 à 0, la sortie conserve son état précédent. 1

2 1.2 ascule GL 1. Une bascule GL se comporte de la manière suivante : si G = 0, la bascule ne change pas d état. i G = 1, le prochain état de la bascule est égal à la valeur de L. Concevez une bascule GL à partir d une bascule. La bascule GL a pour équation caractéristique : + = G. + G.L Construisons la table de vérité d une bascule GL. On rajoute alors les colonnes et, que l on remplit de manière à satisfaire + (il suffit de consulter la table de caractéristique de la bascule ). G L X X X X X X 0 On veut fabriquer une bascule GL à partir d une bascule. Il faut donc trouver les fonction (G, L, ) et (G, L, ). Pour cela on peut utiliser la méthode de Karnaugh : L\G 0 1 L\G X X 01 X X X X 0 = GL et = GL L G 2 Logique synchrone 2.1 ascule La bascule est commandée par les entrées et un signal d horloge CK (figuré par un petit triangle). La table de vérité pour la bascule est donnée ci-dessous : 2

3 CK X X 1 1 X X onner son équation caractéristique et expliquer son fonctionnement avec des mots. + =.(CK ) 2.2 ascule JK La bascule JK est commandée par les entrées J, K et un signal d horloge CK. Lorsque le signal d horloge est en front montant : J K JK = : hold, rien ne change JK = 01 : reset, + = 0 JK = 10 : set, + = 1 JK = 11 : toggle, + = 1. onner l équation caractéristique de JK sur un front montant de CK. + = J + K 2.3 xercices 1. Fabriquez une bascule JK avec horloge en utilisant uniquement une bascule et des portes logiques élémentaires. = J + K J K OU 2. Une bascule T se comporte de la manière suivante : si T = 1 lors du front montant de CK alors + =. Comment construire une bascule T à partir d une bascule? = T. + T. = T 3

4 T XO A A C C A C CK A+.C C.(A+) 3. onner le chronogramme des variables CK, A,, C, A,, C. Au temps zéro, A = = C = 0 CLK A C A C ébut d'une période Pour trouver les sorties A,,C au top T on regarde les entrées A,,C au top T 1. 3 Compteurs 1. Concevoir un compteur qui compte en binaire de 0 à 7. À chaque pas d horloge, le compteur est incrémenté de 1. (a) Concevez un incrémenteur 3 bits avec trois entrées A = a 2 a 1 a 0 et trois sorties = b 2 b 1 b0 de telle sorte que = A + 1[8]. On écrit la table de vérité de l incrémenteur : a2 a1 a0 b2 b1 b

5 n utilisant la méthode de Karnaugh ou de uine - Mc Cluskey on trouve : b 2 = a 2.a 1.a 0 + a 2 (a 1 + a 0 ) b 1 = a 1 a 0 b 0 = a 0 Ce qui nous permet de réaliser le circuit de l incrémenteur. (b) Implémentez le compteur séquentiel en utilisant des bascules et l incrémenteur précédent. Lorsque le compteur atteint 7, au front d horloge suivant il revient à 0. (c) Comment rajouter un bouton reset pour remettre le compteur à zéro? +1 T 2. Concevoir un compteur qui produit la séquence suivante : en utilisant des bascules T., 10, 11, 1010, 1110, 01, 11, 1101, 1011, 1111,,... La méthode se décompose en trois étapes : On écrit la table de vérité reliant l état courant et l état suivant. Ici on a quatre bits d états (compteur 4 bits), donc on va écrire la table pour A,, C, et A +, +,C +, +. Puisque l on a quatre bits d état, il nous faut quatre bascules pour les mémoriser.on rajoute les colonnes correspondant aux commandes de notre bascule (ici les colonne T A, T, T C, T ). On les complète de manière à satisfaire les dépendances entre et +. Avec Karnaugh ou uine Mc Cluskey, on trouve les équations minimales pour T A(A,, C, ), T (A,, C, ),... ce qui nous permet de réaliser le circuit demandé. A C A+ + C+ + TA T TC T

6 TA = A +.C A C X X X X X X 1 0 TC = A C X X X X X X 1 0 T = A A C X X X X X X 1 1 T =.C A C X X X X X X 1 0 A C OU TA A A T TC C T en utilisant des bascules JK. Même méthode. 4 Conversion série-parallèle érie - Parallèle CK s0 s1 s2 s3 Un convertisseur série-parallèle possède trois entrées :,, et un signal d horloge CK. Il possède quatre sorties : les bits du mot. Le convertisseur possède deux modes de fonctionnement : 6

7 si = 1 alors à chaque front d horloge le convertisseur lit la valeur de. La sortie est indéterminée. si = 0 alors la sortie prend les 4 dernierès valeurs lues sur. 1. À partir d une bascule, concevez une bascule GL avec horloge (cf. question 2.3), composée de trois entrées G, L, CK et de deux sorties,. La bascule GL possède deux modes de fonctionnement : i G = 1, la bascule GL se comporte comme une bascule normale. i G = 0, la bascul e GL gèle les sorties et et ignore l entrée L. On écrit l équation caractéristique de la bascule GL : + = GL + G On écrit l équation caractéristique de la bascule : + = On peut simplifier : = GL + G L G OU Ce qui nous donne le circuit suivant : 2. À l aide de bascules GL, concevez un convertisseur série-parallèle 4-bits. G L L G L G L G Concevez maintenant un convertisseur parallèle-série 4bits. I0 I1 I2 I3 Multiplexeur: C =.A +. A C 7

FONCTION COMPTAGE BINAIRE ET DIVISION DE FRÉQUENCE

FONCTION COMPTAGE BINAIRE ET DIVISION DE FRÉQUENCE I/ GÉNÉRALITÉS I.1/ Fonction Un compteur binaire est utilisé : -pour compter un certain nombre d'évènements binaires -pour diviser la fréquence d'un signal logique par 2 m Page 1 FONCTION COMPTAGE BINAIRE

Plus en détail

Architecture des ordinateurs TD1 - Portes logiques et premiers circuits

Architecture des ordinateurs TD1 - Portes logiques et premiers circuits Architecture des ordinateurs TD1 - Portes logiques et premiers circuits 1 Rappel : un peu de logique Exercice 1.1 Remplir la table de vérité suivante : a b a + b ab a + b ab a b 0 0 0 1 1 0 1 1 Exercice

Plus en détail

VIII- Circuits séquentiels. Mémoires

VIII- Circuits séquentiels. Mémoires 1 VIII- Circuits séquentiels. Mémoires Maintenant le temps va intervenir. Nous avions déjà indiqué que la traversée d une porte ne se faisait pas instantanément et qu il fallait en tenir compte, notamment

Plus en détail

IFT1215 Introduction aux systèmes informatiques

IFT1215 Introduction aux systèmes informatiques Introduction aux circuits logiques de base IFT25 Architecture en couches Niveau 5 Niveau 4 Niveau 3 Niveau 2 Niveau Niveau Couche des langages d application Traduction (compilateur) Couche du langage d

Plus en détail

QUESTION 1 {2 points}

QUESTION 1 {2 points} ELE4301 Systèmes logiques II Page 1 de 8 QUESTION 1 {2 points} En se servant de paramètres électriques donnés dans le Tableau 1 ci-dessous, on désire déterminer la fréquence d opération du compteur présenté

Plus en détail

CONVERTISSEURS NA ET AN

CONVERTISSEURS NA ET AN Convertisseurs numériques analogiques (xo Convertisseurs.doc) 1 CONVTIU NA T AN NOT PLIMINAI: Tous les résultats seront exprimés sous formes littérales et encadrées avant les applications numériques. Les

Plus en détail

Logique binaire. Aujourd'hui, l'algèbre de Boole trouve de nombreuses applications en informatique et dans la conception des circuits électroniques.

Logique binaire. Aujourd'hui, l'algèbre de Boole trouve de nombreuses applications en informatique et dans la conception des circuits électroniques. Logique binaire I. L'algèbre de Boole L'algèbre de Boole est la partie des mathématiques, de la logique et de l'électronique qui s'intéresse aux opérations et aux fonctions sur les variables logiques.

Plus en détail

TD Architecture des ordinateurs. Jean-Luc Dekeyser

TD Architecture des ordinateurs. Jean-Luc Dekeyser TD Architecture des ordinateurs Jean-Luc Dekeyser Fiche 1 Nombres de l informatique Exercice 1 Une entreprise désire réaliser la sauvegarde de ses données sur un site distant. Le volume de données à sauvegarder

Plus en détail

RESUME DE COURS ET CAHIER D'EXERCICES

RESUME DE COURS ET CAHIER D'EXERCICES ARCITECTURE INFO-UP REUME DE COUR ET CAIER D'EXERCICE EPITA F. GABON Architecture EPITA INFO-UP F. Gabon COUR LIVRE D ARCITECTURE 3 REUME D'ELECTRONIUE LOGIUE 4 YTEME DE NUMERATION 6 ALGEBRE DE BOOLE 6

Plus en détail

Logique séquentielle

Logique séquentielle Bascules et logique séquentielle aniel Etiemble de@lri.fr Logique séquentielle Logique séquentielle Le système a des «états» ans un système séquentiel Éléments de mémorisation Les sorties dépendent des

Plus en détail

2.4 Représentation graphique, tableau de Karnaugh

2.4 Représentation graphique, tableau de Karnaugh 2 Fonctions binaires 45 2.4 Représentation graphique, tableau de Karnaugh On peut définir complètement une fonction binaire en dressant son tableau de Karnaugh, table de vérité à 2 n cases pour n variables

Plus en détail

Manipulations du laboratoire

Manipulations du laboratoire Manipulations du laboratoire 1 Matériel Les manipulations de ce laboratoire sont réalisées sur une carte électronique comprenant un compteur 4-bit asynchrone (74LS93) avec possibilité de déclenchement

Plus en détail

Initiation à LabView : Les exemples d applications :

Initiation à LabView : Les exemples d applications : Initiation à LabView : Les exemples d applications : c) Type de variables : Créer un programme : Exemple 1 : Calcul de c= 2(a+b)(a-3b) ou a, b et c seront des réels. «Exemple1» nom du programme : «Exemple

Plus en détail

ELP 304 : Électronique Numérique. Cours 1 Introduction

ELP 304 : Électronique Numérique. Cours 1 Introduction ELP 304 : Électronique Numérique Cours 1 Introduction Catherine Douillard Dépt Électronique Les systèmes numériques : généralités (I) En électronique numérique, le codage des informations utilise deux

Plus en détail

Université de La Rochelle. Réseaux TD n 6

Université de La Rochelle. Réseaux TD n 6 Réseaux TD n 6 Rappels : Théorème de Nyquist (ligne non bruitée) : Dmax = 2H log 2 V Théorème de Shannon (ligne bruitée) : C = H log 2 (1+ S/B) Relation entre débit binaire et rapidité de modulation :

Plus en détail

Conception de circuits numériques et architecture des ordinateurs

Conception de circuits numériques et architecture des ordinateurs Conception de circuits numériques et architecture des ordinateurs Frédéric Pétrot Année universitaire 2014-2015 Structure du cours C1 C2 C3 C4 C5 C6 C7 C8 C9 C10 C11 Codage des nombres en base 2, logique

Plus en détail

Conception de circuits numériques et architecture des ordinateurs

Conception de circuits numériques et architecture des ordinateurs Conception de circuits numériques et architecture des ordinateurs Frédéric Pétrot et Sébastien Viardot Année universitaire 2011-2012 Structure du cours C1 C2 C3 C4 C5 C6 C7 C8 C9 C10 C11 C12 Codage des

Plus en détail

Algèbre binaire et Circuits logiques (2007-2008)

Algèbre binaire et Circuits logiques (2007-2008) Université Mohammed V Faculté des Sciences Département de Mathématiques et Informatique Filière : SMI Algèbre binaire et Circuits logiques (27-28) Prof. Abdelhakim El Imrani Plan. Algèbre de Boole 2. Circuits

Plus en détail

- Instrumentation numérique -

- Instrumentation numérique - - Instrumentation numérique - I.Présentation du signal numérique. I.1. Définition des différents types de signaux. Signal analogique: Un signal analogique a son amplitude qui varie de façon continue au

Plus en détail

GPA770 Microélectronique appliquée Exercices série A

GPA770 Microélectronique appliquée Exercices série A GPA770 Microélectronique appliquée Exercices série A 1. Effectuez les calculs suivants sur des nombres binaires en complément à avec une représentation de 8 bits. Est-ce qu il y a débordement en complément

Plus en détail

Conception Systèmes numériques VHDL et synthèse automatique des circuits

Conception Systèmes numériques VHDL et synthèse automatique des circuits Année 2008-2009 Conception Systèmes numériques VHDL et synthèse automatique des circuits Travaux pratiques Pentium4 Présentation du simulateur VHDL sous environnement Cadence Présentation de l outil Synopsys

Plus en détail

Les fonctions logiques

Les fonctions logiques 1 Les fonctions logiques Le fonctionnement des ordinateurs tout comme d autres appareils électroniques repose sur l emploi des circuits électroniques de logique binaire ou électronique numérique. Dans

Plus en détail

Systemesdigitaux. Cours 5

Systemesdigitaux. Cours 5 Systemesdigitaux Cours 5 Au derniercours On a vu des blocs classiques plus sophistiques: Additionneur Soustracteur Multiplicateur Comparateur On a vu les architectures et comment les concevoir Aujourd

Plus en détail

ASR1 TD7 : Un microprocesseur RISC 16 bits

ASR1 TD7 : Un microprocesseur RISC 16 bits {Â Ö Ñ º ØÖ Ý,È ØÖ ºÄÓ Ù,Æ ÓÐ ºÎ ÝÖ Ø¹ ÖÚ ÐÐÓÒ} Ò ¹ÐÝÓÒº Ö ØØÔ»»Ô Ö Óº Ò ¹ÐÝÓÒº Ö» Ö Ñ º ØÖ Ý»¼ Ö½» ASR1 TD7 : Un microprocesseur RISC 16 bits 13, 20 et 27 novembre 2006 Présentation générale On choisit

Plus en détail

INTRODUCTION A L ELECTRONIQUE NUMERIQUE ECHANTILLONNAGE ET QUANTIFICATION I. ARCHITECTURE DE L ELECRONIQUE NUMERIQUE

INTRODUCTION A L ELECTRONIQUE NUMERIQUE ECHANTILLONNAGE ET QUANTIFICATION I. ARCHITECTURE DE L ELECRONIQUE NUMERIQUE INTRODUCTION A L ELECTRONIQUE NUMERIQUE ECHANTILLONNAGE ET QUANTIFICATION I. ARCHITECTURE DE L ELECRONIQUE NUMERIQUE Le schéma synoptique ci-dessous décrit les différentes étapes du traitement numérique

Plus en détail

TP - Alarme de voiture / Approche fonctionnelle

TP - Alarme de voiture / Approche fonctionnelle TP - Alarme de voiture / Approche fonctionnelle Tous les objets techniques, même les plus compliqués, sont étudiés à l aide d une méthode appelée : étude fonctionnelle ou systémique. 1/ Présentation du

Plus en détail

Arithmétique binaire. Chapitre. 5.1 Notions. 5.1.1 Bit. 5.1.2 Mot

Arithmétique binaire. Chapitre. 5.1 Notions. 5.1.1 Bit. 5.1.2 Mot Chapitre 5 Arithmétique binaire L es codes sont manipulés au quotidien sans qu on s en rende compte, et leur compréhension est quasi instinctive. Le seul fait de lire fait appel au codage alphabétique,

Plus en détail

Conception Systèmes numériques VHDL et synthèse automatique des circuits

Conception Systèmes numériques VHDL et synthèse automatique des circuits Année 2011-2012 Conception Systèmes numériques VHDL et synthèse automatique des circuits Travaux pratiques WIDEMACV1 LAAS-CNRS 2011 Présentation du simulateur VHDL sous environnement Cadence Présentation

Plus en détail

Les portes logiques. Voici les symboles des trois fonctions de base. Portes AND. Portes OR. Porte NOT

Les portes logiques. Voici les symboles des trois fonctions de base. Portes AND. Portes OR. Porte NOT Les portes logiques Nous avons jusqu ici utilisé des boutons poussoirs et une lampe pour illustrer le fonctionnement des opérateurs logiques. En électronique digitale, les opérations logiques sont effectuées

Plus en détail

SPECIFICATIONS TECHNIQUES : Gestion des Médicaments et des commandes de médicaments

SPECIFICATIONS TECHNIQUES : Gestion des Médicaments et des commandes de médicaments SPECIFICATIONS TECHNIQUES : Gestion des Médicaments et des commandes de médicaments Développement d une application en web/ client lourd en C# permettant la gestion des médicaments et des commandes de

Plus en détail

Créer et modifier un fichier d'import des coordonnées approximatives avec Excel

Créer et modifier un fichier d'import des coordonnées approximatives avec Excel Créer et modifier un fichier d'import des coordonnées approximatives avec Excel Manuel d'utilisation Date: 26.03.2015 Version: 1.0 Auteur: Christoph Rüfenacht Statut: En cours Libéré Classification: publique

Plus en détail

ARDUINO DOSSIER RESSOURCE POUR LA CLASSE

ARDUINO DOSSIER RESSOURCE POUR LA CLASSE ARDUINO DOSSIER RESSOURCE POUR LA CLASSE Sommaire 1. Présentation 2. Exemple d apprentissage 3. Lexique de termes anglais 4. Reconnaître les composants 5. Rendre Arduino autonome 6. Les signaux d entrée

Plus en détail

TD 1 - Transmission en bande de passe

TD 1 - Transmission en bande de passe Claude Duvallet Université du Havre UFR Sciences et Techniques 25 rue Philippe Lebon - BP 540 76058 LE HAVRE CEDEX Claude.Duvallet@gmail.com Claude Duvallet 1/10 Transmission en bande de passe (1/2) Description

Plus en détail

Manuel d utilisation. Thermomètre d'enregistrement de données à trois canaux Modèle SD200

Manuel d utilisation. Thermomètre d'enregistrement de données à trois canaux Modèle SD200 Manuel d utilisation Thermomètre d'enregistrement de données à trois canaux Modèle SD200 Introduction Toutes nos félicitations pour votre acquisition de l enregistreur de données de température à trois

Plus en détail

Définition 0,752 = 0,7 + 0,05 + 0,002 SYSTÈMES DE NUMÉRATION POSITIONNELS = 7 10 1 + 5 10 2 + 2 10 3

Définition 0,752 = 0,7 + 0,05 + 0,002 SYSTÈMES DE NUMÉRATION POSITIONNELS = 7 10 1 + 5 10 2 + 2 10 3 8 Systèmes de numération INTRODUCTION SYSTÈMES DE NUMÉRATION POSITIONNELS Dans un système positionnel, le nombre de symboles est fixe On représente par un symbole chaque chiffre inférieur à la base, incluant

Plus en détail

La conversion de données : Convertisseur Analogique Numérique (CAN) Convertisseur Numérique Analogique (CNA)

La conversion de données : Convertisseur Analogique Numérique (CAN) Convertisseur Numérique Analogique (CNA) La conversion de données : Convertisseur Analogique Numérique (CAN) Convertisseur Numérique Analogique (CNA) I. L'intérêt de la conversion de données, problèmes et définitions associés. I.1. Définitions:

Plus en détail

TP Modulation Démodulation BPSK

TP Modulation Démodulation BPSK I- INTRODUCTION : TP Modulation Démodulation BPSK La modulation BPSK est une modulation de phase (Phase Shift Keying = saut discret de phase) par signal numérique binaire (Binary). La phase d une porteuse

Plus en détail

Recueil d'exercices de logique séquentielle

Recueil d'exercices de logique séquentielle Recueil d'exercices de logique séquenielle Les bascules: / : Bascule JK Bascule D. Expliquez commen on peu modifier une bascule JK pour obenir une bascule D. 2/ Eude d un circui D Q Q Sorie A l aide d

Plus en détail

Atelier C TIA Portal CTIA04 : Programmation des automates S7-300 Opérations numériques

Atelier C TIA Portal CTIA04 : Programmation des automates S7-300 Opérations numériques Atelier C TIA Portal CTIA04 : Programmation des automates S7-300 Opérations numériques CTIA04 Page 1 1. Les types de données sous S7 300 Il existe plusieurs types de données utilisées pour la programmation

Plus en détail

SYSTEME DE PALPAGE A TRANSMISSION RADIO ETUDE DU RECEPTEUR (MI16) DOSSIER DE PRESENTATION. Contenu du dossier :

SYSTEME DE PALPAGE A TRANSMISSION RADIO ETUDE DU RECEPTEUR (MI16) DOSSIER DE PRESENTATION. Contenu du dossier : SYSTEME DE PALPAGE A TRANSMISSION RADIO ETUDE DU RECEPTEUR (MI16) DOSSIER DE PRESENTATION Contenu du dossier : 1. PRESENTATION DU SYSTEME DE PALPAGE A TRANSMISSION RADIO....1 1.1. DESCRIPTION DU FABRICANT....1

Plus en détail

Chapitre 4 : Les mémoires

Chapitre 4 : Les mémoires 1. Introduction: Chapitre 4 : Les mémoires Nous savons que dans un ordinateur toutes les informations : valeur numérique, instruction, adresse, symbole (chiffre, lettre,... etc.) sont manipulées sous une

Plus en détail

DIALOGUE RS4S232 AVEC TELESURVEILLANCE ET SUPERVISEURS

DIALOGUE RS4S232 AVEC TELESURVEILLANCE ET SUPERVISEURS DIALOGUE RS4S232 AVEC TELESURVEILLANCE ET SUPERVISEURS PARATRONIC-Rue des Genêts - Zone Industrielle - 01600 REYRIEUX - FRANCE Tel. +33 4 74 00 12 70 - Fax. +33 4 74 00 02 42 - E mail : info@paratronic.fr

Plus en détail

SIN-FPGA DESCRIPTION PAR SCHEMA

SIN-FPGA DESCRIPTION PAR SCHEMA SIN-FPGA DESCRIPTION PAR SCHEMA Documents ressources: http://www.altera.com/literature/lit-index.html Introduction to Quartus II : intro_to_quartus2.pdf Documentation QUARTUS II : quartusii_handbook.pdf

Plus en détail

Architecture : Circuits numériques et éléments d architecture

Architecture : Circuits numériques et éléments d architecture Ecole Nationale Supérieure d Informatique et de Mathématiques Appliquées Architecture : Circuits numériques et éléments d architecture 1 ère année Année scolaire 2014 2015 Consignes Les exercices de ce

Plus en détail

Cours n 12. Technologies WAN 2nd partie

Cours n 12. Technologies WAN 2nd partie Cours n 12 Technologies WAN 2nd partie 1 Sommaire Aperçu des technologies WAN Technologies WAN Conception d un WAN 2 Lignes Louées Lorsque des connexions dédiées permanentes sont nécessaires, des lignes

Plus en détail

Neu. Technique d installation / de surveillance. VARIMETER RCM Contrôleur différentiel type B IP 5883

Neu. Technique d installation / de surveillance. VARIMETER RCM Contrôleur différentiel type B IP 5883 Technique d installation / de surveillance VARIMETER RCM Contrôleur différentiel type IP 5883 0249636 X1 A1 X1 i2 11 Alarm 12 Neu IP 5583 N 5018/035 N 5018/030 escription du produit Le contrôleur différentiel

Plus en détail

ET 24 : Modèle de comportement d un système Boucles de programmation avec Labview.

ET 24 : Modèle de comportement d un système Boucles de programmation avec Labview. ET 24 : Modèle de comportement d un système Boucles de programmation avec Labview. Sciences et Technologies de l Industrie et du Développement Durable Formation des enseignants parcours : ET24 Modèle de

Plus en détail

Expérience 3 Formats de signalisation binaire

Expérience 3 Formats de signalisation binaire Expérience 3 Formats de signalisation binaire Introduction Procédures Effectuez les commandes suivantes: >> xhost nat >> rlogin nat >> setenv DISPLAY machine:0 >> setenv MATLABPATH /gel/usr/telecom/comm_tbx

Plus en détail

Spécifications Techniques d Interface

Spécifications Techniques d Interface 67, Édition 2 / Octobre 2000 Spécifications Techniques d Interface SRXUOHUpVHDXGH)UDQFH7pOpFRP 'LUHFWLYH&( &DUDFWpULVWLTXHVGHVLQWHUIDFHVG DFFqV DXVHUYLFH7UDQVIL[0pWURSROLWDLQ 5pVXPp Ce document présente

Plus en détail

RapidMiner. Data Mining. 1 Introduction. 2 Prise en main. Master Maths Finances 2010/2011. 1.1 Présentation. 1.2 Ressources

RapidMiner. Data Mining. 1 Introduction. 2 Prise en main. Master Maths Finances 2010/2011. 1.1 Présentation. 1.2 Ressources Master Maths Finances 2010/2011 Data Mining janvier 2011 RapidMiner 1 Introduction 1.1 Présentation RapidMiner est un logiciel open source et gratuit dédié au data mining. Il contient de nombreux outils

Plus en détail

Un ordinateur, c est quoi?

Un ordinateur, c est quoi? B-A.BA Un ordinateur, c est quoi? Un ordinateur, c est quoi? Un ordinateur est une machine dotée d'une unité de traitement lui permettant d'exécuter des programmes enregistrés. C'est un ensemble de circuits

Plus en détail

Proteus Design Suite V7 Instruments virtuels

Proteus Design Suite V7 Instruments virtuels Proteus Design Suite V7 Instruments virtuels Le modèle d oscilloscope virtuel...2 Généralités...2 Utilisation de l oscilloscope...2 Le modèle d analyseur logique...5 Généralités...5 Utilisation de l analyseur

Plus en détail

Introduction à l architecture des ordinateurs. Adrien Lebre Décembre 2007

Introduction à l architecture des ordinateurs. Adrien Lebre Décembre 2007 Introduction à l architecture des ordinateurs Adrien Lebre Décembre 2007 Plan - partie 1 Vue d ensemble La carte mère Le processeur La mémoire principal Notion de bus Introduction à l architecture des

Plus en détail

Le Millenium 3 pour les nuls!! Phase 2 : Les blocs fonction

Le Millenium 3 pour les nuls!! Phase 2 : Les blocs fonction Le Millenium 3 pour les nuls!! Phase 2 : Les blocs fonction Document rédigé par Pascal Bigot pour le site et le forum APPER Introduction : Le premier tutoriel vous a normalement permis de prendre en main

Plus en détail

Evolution de l infrastructure transport

Evolution de l infrastructure transport Les réseaux optiques I Les réseaux optiques Jean-Paul GAUTIER, jpg@urec.cnrs.fr CNRS / UREC Une des grandes tendances de la fin des années 90 est la demande croissante en bande passante des réseaux d entreprises

Plus en détail

Tutoriel PowerPoint. Fréquences, puissance et modulation. Benoît Volet 25/02/2010

Tutoriel PowerPoint. Fréquences, puissance et modulation. Benoît Volet 25/02/2010 Tutoriel PowerPoint Fréquences, puissance et modulation 25/02/2010 Stage futur ingénieur 2 Table des matières 1. Introduction 4 2. Tutoriel 4 2.1. Vidéos 4 2.2. PowerPoint 4 2.3. Appareils de mesure 5

Plus en détail

MICROCONTROLEURS PIC PROGRAMMATION EN C. V. Chollet - cours-pic-13b - 09/12/2012 Page 1 sur 44

MICROCONTROLEURS PIC PROGRAMMATION EN C. V. Chollet - cours-pic-13b - 09/12/2012 Page 1 sur 44 MICROCONTROLEURS PIC PROGRAMMATION EN C V. Chollet - cours-pic-13b - 09/12/2012 Page 1 sur 44 Chapitre 1 GENERALITES 1 DEFINITION Un microcontrôleur est un microprocesseur RISC (Reduced Instruction Set

Plus en détail

Pilotec confort 10/Pilotec confort 20 réf. 49110 réf. 49111

Pilotec confort 10/Pilotec confort 20 réf. 49110 réf. 49111 9419-682-10/01.06 Pilotec confort 10/Pilotec confort 20 réf. 49110 réf. 49111 Gestionnaire d énergie pour 1 ou 2 zones de chauffage électrique avec fil pilote Sommaire Comment fonctionne votre Pilotec

Plus en détail

1) Où est le problème? Chère lectrice, cher lecteur, On est entre nous, alors on va se tutoyer.

1) Où est le problème? Chère lectrice, cher lecteur, On est entre nous, alors on va se tutoyer. QUAND LE MAITRE ET SES ESCLAVES PRENNENT LE BUS... OU INITIATION AU PROTOCOLE I²C Chère lectrice, cher lecteur, On est entre nous, alors on va se tutoyer. L objet de ce document est de te faire comprendre

Plus en détail

- affichage digital - aiguille

- affichage digital - aiguille . Lire l heure On peut lire l heure sur une horloge, un réveil, une montre à : - affichage digital - aiguille A) La lecture sur un système digital est très simple, il suffit de lire les nombres écrits

Plus en détail

AMBUS IS Collecteur d impulsions M-Bus

AMBUS IS Collecteur d impulsions M-Bus AMBUS IS Collecteur d impulsions M-Bus Application Collecteur d impulsions pour la connexion d un compteur à émetteur d impulsions à des systèmes M-Bus ou pour la transmission de signaux à des systèmes

Plus en détail

COMMANDER la puissance par MODULATION COMMUNIQUER

COMMANDER la puissance par MODULATION COMMUNIQUER SERIE 4 MODULER - COMMUNIQUER Fonctions du programme abordées : COMMANDER la puissance par MODULATION COMMUNIQUER Objectifs : Réaliser le câblage d un modulateur d après le schéma de puissance et de commande,

Plus en détail

REALISATION D UNE CALCULATRICE GRACE AU LOGICIEL CROCODILE CLIPS 3.

REALISATION D UNE CALCULATRICE GRACE AU LOGICIEL CROCODILE CLIPS 3. 1 sur 6 REALISATION D UNE CALCULATRICE GRACE AU LOGICIEL CROCODILE CLIPS 3. OBJECTIF - PUBLIC - LOGICIEL - MATERIEL - METHODE - BIBLIOGRAPHIE - AVANTAGES - DIFFICULTES - AUTEUR DU DOCUMENT - LE DOCUMENT

Plus en détail

Mars 2009 Guide Mercedes-Benz du témoin de remise à zéro du service

Mars 2009 Guide Mercedes-Benz du témoin de remise à zéro du service Mars 2009 Guide Mercedes-Benz du témoin de remise à zéro du service Sommaire Modèle 451.3 /4 (smart)..... 3 Modèle 245 (Classe B)... 5 Modèles 203 et 209 (Classe C et Classe CLK)... 7 Modèles 166, X166,

Plus en détail

Reekin Timemaster Station météo

Reekin Timemaster Station météo Reekin Timemaster Station météo Manuel utilisation Température Taux d humidité Jauge de température Température intérieure Humidité intérieure : 10-95% (+ - 5%) Mise à jour du cycle: 20 secondes pour la

Plus en détail

Notice : THERMOMETRE SANS FIL

Notice : THERMOMETRE SANS FIL Notice : THERMOMETRE SANS FIL Nous vous remercions pour l achat de cette station météo. Merci de prendre le temps de lire et de comprendre ce manuel afin de mieux apprécier toutes les fonctions dont ce

Plus en détail

module Introduction aux réseaux DHCP et codage Polytech 2011 1/ 5

module Introduction aux réseaux DHCP et codage Polytech 2011 1/ 5 DHCP et codage DHCP ( Dynamic Host Configuration Protocol RFC 2131 et 2132) est un protocole client serveur qui permet à un client hôte d un réseau local (Ethernet ou Wifi) d obtenir d un serveur DHCP

Plus en détail

SOMMAIRE DE LA MISE A JOUR

SOMMAIRE DE LA MISE A JOUR SOMMAIRE DE LA MISE A JOUR 1. DISPOSITIF ACS ET TPI - CONTEXTE D UTILISATION 1 1.1 Identification des bénéficiaires de l ACS 1 1.2 Synthèse : Tiers Payant ACS > 2 situations 2 2. DISPOSITIF ACS ET TPI

Plus en détail

Bien commencer avec un LaunchPad MSP430G et un Breadboard

Bien commencer avec un LaunchPad MSP430G et un Breadboard Bien commencer avec un LaunchPad MSP430G et un Breadboard Pierre-Yves Rochat Le LaunchPad et Energia Texas Instrument propose un environnement de développement très complet pour ses MSP430, appelé Code

Plus en détail

Travaux pratiques avec RapidMiner

Travaux pratiques avec RapidMiner Travaux pratiques avec RapidMiner Master Informatique de Paris 6 Spécialité IAD Parcours EDOW Module Algorithmes pour la Fouille de Données Janvier 2012 Prise en main Généralités RapidMiner est un logiciel

Plus en détail

Description des pratiques à adopter pour la mise à jour du layout en utilisant le gestionnaire de conception de Sharepoint 2013

Description des pratiques à adopter pour la mise à jour du layout en utilisant le gestionnaire de conception de Sharepoint 2013 Exemple d utilisation du gestionnaire de conception Description des pratiques à adopter pour la mise à jour du layout en utilisant le gestionnaire de conception de Sharepoint 2013 6, rue de l Etang, L-5326

Plus en détail

MESUREZ L'IMPACT DE VOS CAMPAGNES!

MESUREZ L'IMPACT DE VOS CAMPAGNES! MESUREZ L'IMPACT DE VOS CAMPAGNES! Concrètement, qu est-ce que mesurer l'impact de vos campagnes? L'utilisateur, après avoir envoyé une campagne e-mailing, peut mesurer son impact en consultant les résultats

Plus en détail

Modules d entrées/sorties pour FX série XM07 et XM14

Modules d entrées/sorties pour FX série XM07 et XM14 Fiche produit Modules XM07 et XM14 Date Juillet 2009 Modules d entrées/sorties pour FX série XM07 et XM14 Les modules XM07 et XM14 proposent des points d entrées et de sorties physiques supplémentaires

Plus en détail

Etudier l influence de différents paramètres sur un phénomène physique Communiquer et argumenter en utilisant un vocabulaire scientifique adapté

Etudier l influence de différents paramètres sur un phénomène physique Communiquer et argumenter en utilisant un vocabulaire scientifique adapté Compétences travaillées : Mettre en œuvre un protocole expérimental Etudier l influence de différents paramètres sur un phénomène physique Communiquer et argumenter en utilisant un vocabulaire scientifique

Plus en détail

Les tâches d un projet

Les tâches d un projet TD N 1 Les tâches d un projet Sommaire 1. - CREER NOUVEAU PROJET... 2 1.1. - DEFINIR NOUVEAU PROJET... 2 1.1.1. - Initialisation des propriétés d un projet... 2 1.1.2. - Initialisation des informations

Plus en détail

Le multiplexage. Sommaire

Le multiplexage. Sommaire Sommaire Table des matières 1- GENERALITES... 2 1-1 Introduction... 2 1-2 Multiplexage... 4 1-3 Transmission numérique... 5 2- LA NUMERATION HEXADECIMALE Base 16... 8 3- ARCHITECTURE ET PROTOCOLE DES RESEAUX...

Plus en détail

Description d'une liaison

Description d'une liaison escription d'une liaison I. Constitution d'une liaison...2 II. Modes d'exploitation...2 III. Normalisation des jonctions...2 III.1. Norme V28 (RS232) 3 III.2. Norme V11 (RS422 et RS485) 4 IV. Liaison V24

Plus en détail

Architecture des ordinateurs

Architecture des ordinateurs Architecture des ordinateurs Cours 4 5 novembre 2012 Archi 1/22 Micro-architecture Archi 2/22 Intro Comment assembler les différents circuits vus dans les cours précédents pour fabriquer un processeur?

Plus en détail

Objectif : Passer de l analyse métier et fonctionnelle à la définition des applications qui

Objectif : Passer de l analyse métier et fonctionnelle à la définition des applications qui Formation PARTIE 1 : ARCHITECTURE APPLICATIVE DUREE : 5 h Objectif : Passer de l analyse métier et fonctionnelle à la définition des applications qui automatisent les fonctions Définir une architecture

Plus en détail

Présentation et installation PCE-LOG V4 1-5

Présentation et installation PCE-LOG V4 1-5 PCE-LOG V4 version borne externe type PC50 mesures U, I + 3 TS version coffret mural mesures U, U, I + 3TS PRESENTATION 1-5 1 Presentation PCE-LOG V4 est un datalogger pour la télésurveillance de la protection

Plus en détail

Cours Informatique 1. Monsieur SADOUNI Salheddine

Cours Informatique 1. Monsieur SADOUNI Salheddine Cours Informatique 1 Chapitre 2 les Systèmes Informatique Monsieur SADOUNI Salheddine Un Système Informatique lesystème Informatique est composé de deux parties : -le Matériel : constitué de l unité centrale

Plus en détail

Calculons avec Albert!

Calculons avec Albert! Calculons avec Albert! Par : Guy Lefebvre, 1257 rue Principale, St-Prime, G8J 1V2, 418-251-2170 Guillaume Rainville, 610 8 e rue, St-Prime, G8J 1P6, 418-251-8290 Résumé : Lefebvre G. et Rainville G., 2001,

Plus en détail

Hiérarchie matériel dans le monde informatique. Architecture d ordinateur : introduction. Hiérarchie matériel dans le monde informatique

Hiérarchie matériel dans le monde informatique. Architecture d ordinateur : introduction. Hiérarchie matériel dans le monde informatique Architecture d ordinateur : introduction Dimitri Galayko Introduction à l informatique, cours 1 partie 2 Septembre 2014 Association d interrupteurs: fonctions arithmétiques élémentaires Elément «NON» Elément

Plus en détail

TUTORIEL INSTALLATION D UNE WENBOX ETHERNET DE WENGO SUR UN MODEM ROUTEUR DG834 G DE NETGEAR

TUTORIEL INSTALLATION D UNE WENBOX ETHERNET DE WENGO SUR UN MODEM ROUTEUR DG834 G DE NETGEAR TUTORIEL INSTALLATION D UNE WENBOX ETHERNET DE WENGO SUR UN MODEM ROUTEUR DG834 G DE NETGEAR Rédigé par : WinHarfang Date : 12/11/2005 Tutoriel DG834G et Wengo version 1.2 Page 1/18 TUTORIEL DG834G ET

Plus en détail

1. PRESENTATION DU PROJET

1. PRESENTATION DU PROJET Bac STI2D Formation des enseignants Jean-François LIEBAUT Denis PENARD SIN 63 : Prototypage d un traitement de l information analogique et numérique (PSoC) 1. PRESENTATION DU PROJET Les systèmes d éclairage

Plus en détail

Contrôleur de communications réseau. Guide de configuration rapide DN1657-0606

Contrôleur de communications réseau. Guide de configuration rapide DN1657-0606 K T - N C C Contrôleur de communications réseau Guide de configuration rapide DN1657-0606 Objectif de ce document Ce Guide de configuration rapide s adresse aux installateurs qui sont déjà familiers avec

Plus en détail

CLIP. (Calling Line Identification Presentation) Appareil autonome affichant le numéro appelant

CLIP. (Calling Line Identification Presentation) Appareil autonome affichant le numéro appelant 1. Besoin CLIP (Calling Line Identification Presentation) Appareil autonome affichant le numéro appelant ETUDE FONCTIONNELLE De très nombreux abonnés du réseau téléphonique commuté ont exprimé le besoin

Plus en détail

Chapitre 4 : Exclusion mutuelle

Chapitre 4 : Exclusion mutuelle Chapitre 4 : Exclusion mutuelle Pierre Gançarski Juillet 2004 Ce support de cours comporte un certain nombre d erreurs : je décline toute responsabilité quant à leurs conséquences sur le déroulement des

Plus en détail

3 ÉLÉMENTS forment un LABoratoire.

3 ÉLÉMENTS forment un LABoratoire. 3 ÉLÉMENTS forment un LABoratoire. L environnement d apprentissage COM3LAB combine l expérimentation et les avantages du e-learning interactif. La nouvelle unité centrale (console) est la jonction entre

Plus en détail

3L8PW = EP - 308A 6L24P = EP -624A

3L8PW = EP - 308A 6L24P = EP -624A NOTICE TECHNIQUE CENTRAL TELEPHONIQUE ANALOGIQUE 3 LIGNES 8 POSTES Autocommutateur téléphone PABX CENTRAL 2L8P = EP 208A =TC - 208A. 3L12PN = EP 312A = TC312A = TC308A 3L8PW = EP - 308A 6L24P = EP -624A

Plus en détail

COMMUTEL PRO VM3 INTERFACE GSM VOIX POUR EMULATION DE LIGNE RTC ET TRANSMETTEUR DE SMS D ALERTES ET TECHNIQUES.

COMMUTEL PRO VM3 INTERFACE GSM VOIX POUR EMULATION DE LIGNE RTC ET TRANSMETTEUR DE SMS D ALERTES ET TECHNIQUES. COMMUTEL PRO VM3 INTERFACE GSM VOIX POUR EMULATION DE LIGNE RTC ET TRANSMETTEUR DE SMS D ALERTES ET TECHNIQUES. 1. DESCRIPTION DU PRODUIT COMMUTEL PRO VM3 est un Secours GSM Canal Voix et un transmetteur

Plus en détail

Modules d automatismes simples

Modules d automatismes simples Modules d automatismes simples Solutions pour automatiser Modules d'automatismes Enfin, vraiment simple! Un concentré de solution Pour vos petites applications d'automatismes millenium gère : Temporisations

Plus en détail

Animation pédagogique sur l oscilloscope à mémoire Hameg HM 507

Animation pédagogique sur l oscilloscope à mémoire Hameg HM 507 Animation pédagogique sur l oscilloscope à mémoire Hameg HM 507 Les réglages matériels généraux de l oscilloscope Hameg HM 507 Ce sont les réglages qui sont actifs aussi bien en mode Analogique (oscilloscope

Plus en détail

Acquisition et conditionnement de l information Les capteurs

Acquisition et conditionnement de l information Les capteurs Acquisition et conditionnement de l information Les capteurs COURS 1. Exemple d une chaîne d acquisition d une information L'acquisition de la grandeur physique est réalisée par un capteur qui traduit

Plus en détail

EPREUVE OPTIONNELLE d INFORMATIQUE CORRIGE

EPREUVE OPTIONNELLE d INFORMATIQUE CORRIGE EPREUVE OPTIONNELLE d INFORMATIQUE CORRIGE QCM Remarque : - A une question correspond au moins 1 réponse juste - Cocher la ou les bonnes réponses Barème : - Une bonne réponse = +1 - Pas de réponse = 0

Plus en détail

La technologie Java Card TM

La technologie Java Card TM Présentation interne au CESTI La technologie Java Card TM sauveron@labri.u-bordeaux.fr http://dept-info.labri.u-bordeaux.fr/~sauveron 8 novembre 2002 Plan Qu est ce que Java Card? Historique Les avantages

Plus en détail

TEPZZ 568448A_T EP 2 568 448 A1 (19) (11) EP 2 568 448 A1 (12) DEMANDE DE BREVET EUROPEEN. (51) Int Cl.: G07F 7/08 (2006.01) G06K 19/077 (2006.

TEPZZ 568448A_T EP 2 568 448 A1 (19) (11) EP 2 568 448 A1 (12) DEMANDE DE BREVET EUROPEEN. (51) Int Cl.: G07F 7/08 (2006.01) G06K 19/077 (2006. (19) TEPZZ 68448A_T (11) EP 2 68 448 A1 (12) DEMANDE DE BREVET EUROPEEN (43) Date de publication: 13.03.2013 Bulletin 2013/11 (1) Int Cl.: G07F 7/08 (2006.01) G06K 19/077 (2006.01) (21) Numéro de dépôt:

Plus en détail

2.1 Le point mémoire statique Le point mémoire statique est fondé sur le bistable, dessiné de manière différente en Figure 1.

2.1 Le point mémoire statique Le point mémoire statique est fondé sur le bistable, dessiné de manière différente en Figure 1. Mémoires RAM 1. LOGIUE STATIUE ET LOGIUE DYNAMIUE Le point mémoire est l élément de base, capable de mémoriser un bit. Il y a deux approches possibles. L approche statique est fondée sur la l'utilisation

Plus en détail