Table des matières 1 CODES BINAIRES ET PORTES SIMPLES 1 2 TABLE DE VÉRITÉ ET ALGÈBRE DE BOOLE 45

Dimension: px
Commencer à balayer dès la page:

Download "Table des matières 1 CODES BINAIRES ET PORTES SIMPLES 1 2 TABLE DE VÉRITÉ ET ALGÈBRE DE BOOLE 45"

Transcription

1 Table des matières PRÉFACE iii 1 CODES BINAIRES ET PORTES SIMPLES 1 Cent cinquante ans d histoire Introduction Du continu au discret Pourquoi numériser? Avantages de deux états Représentation binaire Méthodes de conversion binaire en décimal Calcul des fractions Conversion de base décimale en base binaire Conversion de fractions Addition de nombres binaires non négatifs Soustraction par la méthode du complément Addition de fractions binaires Codes et encodage Portes logiques Exemple de design : addition modulaire Analyse et design des circuits logiques Implantation matérielle des circuits logiques 35 Problèmes résolus 41 Exercices 43 2 TABLE DE VÉRITÉ ET ALGÈBRE DE BOOLE 45 George Boole Introduction Tables de vérité (tdv) Primitives toutes faites et approche par mémorisation de la table de vérité Première méthode de résolution d'une table de vérité : résolution canonique par la méthode de la Somme de Produits (SdP) Algèbre booléen 58

2 viii Circuits logiques 2.6 Propriétés du OU-exclusif Utilisation de portes NON-ET dans les designs SdP (Somme de Produits) Impliquants Recherche des 0 et design avec des portes NON-OU (méthode de synthèse par Produit de Sommes (PdS)) Lois de De Morgan appliquées aux circuits PdS Optimisation des designs numériques 74 Problèmes résolus 76 Exercices 79 3 MÉTHODE DES TABLES DE KARNAUGH POUR MINIMISER LES EXPRESSIONS BOOLÉENNES 81 Maurice Karnaugh à l origine de la méthode de simplification graphique Introduction Diagrammes de Venn et tables de Karnaugh Simplification graphique des tables de Karnaugh Solution en rassemblant les Les choix «sans importance» dans les tables de Karnaugh Tables de Karnaugh pour plus de quatre entrées Aléas 106 Problèmes résolus 110 Exercices CIRCUITS PROGRAMMABLES POUR LES DESIGNS COMBINATOIRES 118 August De Morgan : des lois fondamentales pour la simplification des circuits logiques Introduction Synthèse avec des circuits à intégration moyenne Synthèse avec multiplexeurs Multiplexeurs reliés en cascades Multiplexeurs et théorème d expansion de Shannon Démultiplexeur (ou décodeur) Synthèse par démultiplexeurs : utilisation des décodeurs pour la synthèse des tables de vérité Mise en cascade de démultiplexeurs Circuits de mémoire Circuits logiques programmables (PLD) PAL : Programmed Array Logic PLA : Programmable Logic Array 169

3 Table des matières ix 4.13 FPGA Logiciel de programmation 171 Problèmes résolus 173 Exercices BASCULES ET FLIP-FLOPS 182 Le premier microprocesseur : le Introduction Retour de l information et ses conséquences (feed-back) Bascules R-S État d une bascule, état d un circuit séquentiel Bascule R-S améliorée : le latch D Bascules à déclenchement sur front «maître-esclave» : flip-flop D Formation de registres à partir de flip-flops D Bascules à transition maître-esclave : flip-flop toggle (flip-flop T) Flip-flop R-S maître-esclave et les contaminations aux «1» et aux «0» ( «1 catching» ou «0 catching») Autre configuration pour le flip-flop D (section facultative) Quelques paramètres importants des flip-flops synchrones Flip-flop J-K Résumé : flip-flops D, T, J-K 216 Problèmes résolus 218 Exercices SÉQUENCEURS SYNCHRONES 225 Quel défi! Introduction États et sortie Circuits synchrones : considérations à propos de l horloge Étapes d analyse et de design pour les circuits synchrones sans entrées Analyse de circuits synchrones sans entrées Design de séquenceurs synchrones sans entrées Types de compteurs Registres à décalage pour le design de séquenceurs synchrones Unités cachées Séquence arbitraire obtenue avec un compteur et une mémoire 272 Problèmes résolus 275 Exercices 284

4 x Circuits logiques 7 MACHINE D ÉTATS SYNCHRONE 288 L avenir des circuits logiques passe par les «nanotubes de carbones» Introduction Machine de Mealy Analyse et design Analyse des circuits synchrones avec entrées externes par la méthode des variables conditionnelles Design des circuits synchrones avec entrées externes par la méthode des variables conditionnelles Synthèse avec MSI et LSI Analyse de machines à nombre finis d états avec compteurs synchrones Conception de machines à nombre fini d'états avec compteurs synchrones Microprogrammation 344 Problèmes résolus 350 Exercices 371 ANNEXE 378 LEXIQUE 383 BIBLIOGRAPHIE 403 INDEX 405

5 TP1PT Museum TP2PT Museum TP3PT 1 Codes binaires et portes simples CENT CINQUANTE ANS D HISTOIRE L histoire des ordinateurs est fascinante. Même si ce domaine nous semble récent, son histoire est déjà longue et on retrouve même des musées sur le sujet, notamment à BostonTP1PT et à Mountain View (Californie)TP2PT, où se trouve le plus complet du genre dans le monde (son site virtuel est aussi bien garni et mérite la visite tout comme le musée virtuel de l IEEETP3PT). Il faut remonter à 1837, lorsque le visionnaire Charles Babbage conçoit un engin de calcul, la machine analytique. À l époque, l électronique était évidemment inexistante, même Ohm n avait pas encore énoncé sa fameuse loi (1862). La conception de cette machine était donc entièrement mécanique ; un travail d orfèvre puisque chaque engrenage devait être patiemment réalisé à la main. Charles Babbage y engloutit tous ses avoirs, et, même si le gouvernement britannique y investit aussi beaucoup d argent, jamais la machine ne fut complétée ni fonctionnelle. Par contre, on s en souvient toujours aujourd hui puisque cette machine comprenait tous les attributs d un ordinateur moderne : entrées, sortie, mémoire, unité centrale de traitement et, surtout, possibilité de la programmer. D ailleurs, Ada Byron Augusta Lovelace ( ), mathématicienne, est considérée comme ayant écrit le premier «programme informatique». En effet, dans une correspondance avec Babbage, elle décrivit un plan pour calculer les nombres de Bernouilli. En 1979, un langage développé par la Défense américaine fut nommé ADA en son honneur. Première génération Les guerres sont des événements terribles qui donnent parfois lieu à des percées technologiques d importance. Que l on songe par exemple aux premières fusées capables de mettre en orbite des satellites artificiels issues des V-2 allemands ou encore aux of Science (Boston) : voir le volet virtuel à of Computer History :

6 TP4PT Corécipiendaires 2 Circuits logiques ordinateurs. En effet, pour ses canons, l armée américaine était à la recherche de méthodes rapides pour le calcul de tables de tirs. L élaboration de ces tables exigeaient des calculs complexes étant donné le nombre de variables impliquées : poids des obus, distance, température, direction et vitesse du vent, humidité relative, etc. C est ainsi que Howard Aiken de l université Harvard fut financé pour la construction du premier ordinateur, le MARK I (1944). L architecture du Mark I se caractérisait par une mémoire séparée pour les données et les programmes. Cette caractéristique lui valut l appellation d architecture de Harvard. La plupart des microcontrôleurs actuels utilisent cette architecture : pensons au cas du microcontrôleur d un four à micro-ondes dont le programme est immuable alors que les données changent (temps de cuisson, puissance). Quand avez-vous fait la mise à jour du programme de votre micro-ondes? Le Mark I était fabriqué avec des relais. Il fut suivi en 1946 par l ENIAC (Electronic Numerical Integrator And Computer) qui est le premier ordinateur électronique, puisque construit avec tubes à vide (il pesait 30 tonnes). Le nombre énorme de tubes à vide engendrait des pannes fréquentes et donc des périodes restreintes d utilisation qui permirent néanmoins de procéder au calcul des tables de tirs. Il fut suivi en 1952 par l EDVAC (Electronic Discrete Variable Automatic Computer), dont l architecture est maintenant connue sous le nom d architecture de Von Neumann, de son concepteur, John Von Neumann. À la différence de l architecture de Harvard, celle de Von Neumann emploie une même mémoire pour les données et les programmes, ce qui fait que les programmes peuvent s automodifier. La plupart des microprocesseurs sont construits avec l architecture de Von Neumann (pensons par exemple au Pentium d un PC qui exécute du code sans cesse différent, tantôt un traitement de texte, tantôt un logiciel de calcul, etc.). Le développement de l EDVAC fut aussi l occasion de mettre au point des langages informatiques plus évolués. La première génération d ordinateurs fait référence aux MARK I, ENIAC, EDVAC et autres machines similaires de cette époque, des machines fonctionnant au mieux avec des tubes à vide. Deuxième génération La deuxième génération fut permise grâce à la mise au point du transistor par John Bardeen et Walter H. Brattain de chez Bell Labs en 1947 (invention annoncée en 1948). Ce premier transistor-contact était constitué d une barre de germanium sur laquelle des fils faisaient contact. Ce n était pas seulement un interrupteur, mais aussi un amplificateur. Par rapport aux tubes à vide, il consommait très peu d énergie et dissipait donc peu de chaleur tout en étant petit (pas plus grand que le bout d un doigt). Peu après (1951), William Shockley, aussi de Bell Labs, transforma le fragile transistor-contact en un composant pratique et robuste en inventant le transistor à jonction réalisé comme un empilement de couches de germanium. Ce transistor de germanium fut un point décisif qui valut d ailleurs le prix Nobel à ces inventeurs en 1956TP4PT. Par contre, il n était pas très fiable ; un autre élément chimique était nécessaire. C est ainsi que l introduction du du prix Nobel de la physique pour leurs recherches sur les semi-conducteurs et leur découverte de l effet transistor : William Shockley, John Bardeen, Walter H. Brattain.

7 TP5PT Un TP6PT «51, 1 Codes binaires et portes simples 3 premier transistor au siliciumtp5pt par Texas instruments en 1954 révolutionna le monde. À la fin des années cinquante, le petit transistor avait remplacé le tube à vide fragile et chaud dans à peu près toutes les applications y compris les ordinateurstp6pt. Le produit phare caractérisant cette époque est sans contredit la radio de poche à transistors. Logique câblée Les ordinateurs de cette génération sont caractérisés par une plus grande puissance de calcul et de la logique câblée réalisée à partir de composants discrets (transistors, résistances, condensateurs, etc.). La logique câblée est celle que nous étudierons dans ce livre. Elle s oppose à la logique programmable. En logique câblée, le moindre changement exige un recâblage où à tout le moins des modifications au circuit de départ. En logique programmable, un changement est réalisé simplement par modification du programme de contrôle tout en gardant le même circuit de départ. La logique programmable est donc plus souple, par contre le «décorticage» du programme rend généralement l exécution d une tâche spécifique plus lente qu avec la logique câblée. Troisième génération et la Loi de Moore Si un transistor c est bien, deux c est mieux! La troisième génération d ordinateurs est ainsi caractérisée par l utilisation des premiers circuits électroniques construits dans les années soixante. En effet, le développement de la technologie des circuits électroniques permit l incorporation de plusieurs fonctions logiques dans une même puce. C est ainsi que les circuits SSI (Small Scale Integration) et MSI (Medium Scale Integration) furent employés pour la réalisation des ordinateurs de troisième génération. Cette époque vit aussi la proclamation de la fameuse loi de Moore. En 1961, Gordon E. Moore était alors ingénieur chez Fairchild, un fabricant bien connu de circuits intégrés. Cette année-là, Fairchild mettait sur le marché des circuits intégrés SSI comprenant 4 = 2P2P transistors. Moore savait que les laboratoires de la compagnie planchaient sur la réalisation de circuits intégrés à 8 = 2P3P transistors. Il savait aussi qu en 1959, c est seulement 1 = 2P0P transistor que la technologie savait encapsuler. C est ainsi qu il proclama sa fameuse loi qui stipule que le nombre de transistors embarqués sur un circuit intégré double chaque année. Aujourd hui, cette loi est toujours vraie (avec un délai qui tourne plutôt autour des 18 mois). Étant donné l effet exponentiel de la puissance de 2, le fait que cette loi n ait jamais été prise en défaut est particulièrement extraordinaire. Les mauvaises langues disent d ailleurs que c est l existence même de cette loi qui a fait que les grands fabricants électroniques mondiaux se sont toujours «arrangés» pour qu elle reste valide (en construisant des usines plus performantes, etc.). Peu après (1968), Moore fonda Intel avec Robert Noyce. Quatrième génération La quatrième génération d ordinateurs date des années 1970 lorsque les circuits LSI (Large Scale Integration) furent disponibles. Cette époque est d ailleurs celle qui vit la commercialisation du premier microprocesseur, le 4004 de Intel (mise en marché : 1971, de ceux-ci est visible au musée du Texas à Austin (la capitale du Texas). Principes de fonctionnement de l'ordinateur électronique IBM, type 650», Roger Maldague, Bulletin de la Société belge de Photogrammétrie, npop p. 9-22, mars 1958.

8 TP7PT 4 Circuits logiques 2300 transistors, surface de silicium de 1.2 mmp2p). Une discussion sur le 4004 se trouve d ailleurs à la page 182TP7PT. Cinquième génération De nos jours, nous en sommes à la cinquième génération d ordinateurs avec l utilisation des circuits VLSI (de l anglais Very Large Scale Integration, voir plus bas dans ce chapitre). Suivant la Loi de Moore citée plus haut, l intégration augmente toujours. Prenons par exemple le cas du microprocesseur équipant les ordinateurs personnels. Au début, ceux-ci étaient équipés d un Intel 8088 (mise en marché : 1980, transistors, surface de silicium de 33 mmp2p). De nos jours, on parle du microprocesseur Intel Pentium (Pentium 4, mise en marché : février 2004, plus de 55 millions de transistors, fréquence d horloge : 3.4 GHz). Quand la Loi de Moore sera-t-elle prise en défaut? Le nombre d atomes requis pour réaliser un transistor diminue sans cesse ; la limite physique est d un atome par transistor. Les experts prédisent que, d ici une dizaine d années, la technologie actuelle de fabrication de circuits intégrés sera à bout de souffle. Nous en reparlerons au chapitre 7. Transistors Loi de Moore Processeur Itanium 2 Processeur Itanium 1,000,000, Processeur Processeur Pentium Processeur 486 Processeur Pentium 4 Processeur Pentium 3 Processeur Pentium 2 100,000,000 10,000,000 1,000, , ,000 1, Figure 1.1 Loi de Moore illustrant l évolution du nombre de transistors embarqués dans les circuits intégrés (source : HHhttp:// Voir aussi : HHhttp:// HHHHH1.1 INTRODUCTION Pour interagir sur le monde, il faut pouvoir se représenter celui-ci sous une forme propice. Par exemple, les mots que nous exprimons peuvent être transcrits sur papier grâce à l écriture. Dans cet exemple, les mots sont représentés par des symboles (les lettres de l alphabet), et toutes ces lettres associées ensemble suivant des règles précises Voir aussi :

9 TP8PT Un 1 Codes binaires et portes simples 5 (de grammaire) constituent la langue que nous parlons. Bien sûr, les règles dépendent de la langue (le français, l allemand, le mandarin, etc.), et quoiqu il n y ait que 26 symboles (en français), on arrive vite à un ensemble complexe qui nécessite des années d apprentissage pour en connaître toutes les finesses. Les notes de musique sont un autre ensemble de symboles bien connu qui représentent les sons. Le domaine des circuits logiques fait bien sûr référence au domaine du «numérique», et, que l on s intéresse au microprocesseur de dernière génération ou à l humble microcontrôleur du four à micro-ondes, le plus banal, ce vaste domaine représente le monde avec un système binaire très simple à deux états, le «1» et le «0». En circuiterie numérique, tout (lettres de l alphabet, notes de musique, points ou pixels dans une imagetp8pt) sera représenté par ces deux symboles. Notre représentation des nombres est décimale et basée sur nos 10 doigts, si utiles pour compter. En circuiterie numérique les nombres seront représentés par les deux mêmes symboles (0, 1), et on parlera donc d une arithmétique binaire, donc d une arithmétique en base 2. Dans ce chapitre, nous nous attarderons d ailleurs sur cette arithmétique un peu spéciale, un peu rébarbative de prime abord, mais si répandue dans les machines qui nous entourent. Avant d aborder l étude de cette arithmétique binaire, il convient de s interroger sur la façon de numériser. 1.2 DU CONTINU AU DISCRET En termes mathématiques, le monde qui nous entoure est un monde continu, c est-à-dire que les grandeurs physiques qui le décrivent, comme la température, la vitesse du vent, l intensité lumineuse, peuvent avoir une infinité de valeurs. Prenons la température, qui est un exemple facile, puisqu un simple thermomètre attaché à une fenêtre permet de la mesurer. La hauteur de la colonne de mercure dans le thermomètre pourra s abaisser (ou s élever) à n importe quelle valeur (entre les graduations maximales et minimales de ce dernier), par exemple : +20 C, mais aussi C ou C. Bien sûr, ces dernières valeurs nécessitent une instrumentation spéciale et ne peuvent pas être lues directement sur les graduations. Prenons maintenant un bloc d alimentation de tension variable de 0 à 10 V, figure 1.2. Un bouton de réglage permet de modifier la tension de sortie dans la plage disponible. Cherchons maintenant à numériser ce signal de sortie (la tension aux bornes du bloc d alimentation). Dans un premier temps, on pourrait simplement diviser la plage en deux : ce qui est supérieur à 5 V, serait associé à la valeur binaire 1, et ce qui serait inférieur à 5 V à la valeur logique 0. point-image est souvent appelé pixel pour picture element.

10 6 Circuits logiques Figure 1.2 Photo d un bloc d alimentation dont une des sorties (encerclée) est réglée sur environ 10 Volt tel que confirmé par les indicateurs. Le domaine continu contient un nombre infini de points car il s agit du domaine continu. Figure 1.3 Ce domaine peut être numérisé en le divisant par 2, et en assignant à chaque tranche les symboles 0 et 1 : Figure 1.4 Bien sûr, cette première approximation est très grossière (car réalisée sur un bit seulement) ; on peut la poursuivre en redivisant chaque tranche. Voici d ailleurs les résultats de cette conversion que l on appelle conversion analogique-numérique respectivement sur deux et trois bits : sur deux bits sur trois bits Figure 1.5

IFT1215 Introduction aux systèmes informatiques

IFT1215 Introduction aux systèmes informatiques Introduction aux circuits logiques de base IFT25 Architecture en couches Niveau 5 Niveau 4 Niveau 3 Niveau 2 Niveau Niveau Couche des langages d application Traduction (compilateur) Couche du langage d

Plus en détail

I.1- DÉFINITIONS ET NOTIONS DE BASE

I.1- DÉFINITIONS ET NOTIONS DE BASE I.1- DÉFINITIONS ET NOTIONS DE BASE Informatique Information Automatique Logiciels (Software) Matériels (Hardware) Définition de l information : On appelle une information tout ce qui est transmissible

Plus en détail

Architecture des ordinateurs TD1 - Portes logiques et premiers circuits

Architecture des ordinateurs TD1 - Portes logiques et premiers circuits Architecture des ordinateurs TD1 - Portes logiques et premiers circuits 1 Rappel : un peu de logique Exercice 1.1 Remplir la table de vérité suivante : a b a + b ab a + b ab a b 0 0 0 1 1 0 1 1 Exercice

Plus en détail

Histoire de l Informatique

Histoire de l Informatique Histoire de l Informatique Abdelaaziz EL HIBAOUI Université Abdelelmalek Essaadi Faculté des Sciences de-tétouan hibaoui.ens@gmail.com 14 Feb 2015 A. EL HIBAOUI (FS-Tétouan) Architecture des ordinateurs

Plus en détail

Logique binaire. Aujourd'hui, l'algèbre de Boole trouve de nombreuses applications en informatique et dans la conception des circuits électroniques.

Logique binaire. Aujourd'hui, l'algèbre de Boole trouve de nombreuses applications en informatique et dans la conception des circuits électroniques. Logique binaire I. L'algèbre de Boole L'algèbre de Boole est la partie des mathématiques, de la logique et de l'électronique qui s'intéresse aux opérations et aux fonctions sur les variables logiques.

Plus en détail

GPA770 Microélectronique appliquée Exercices série A

GPA770 Microélectronique appliquée Exercices série A GPA770 Microélectronique appliquée Exercices série A 1. Effectuez les calculs suivants sur des nombres binaires en complément à avec une représentation de 8 bits. Est-ce qu il y a débordement en complément

Plus en détail

Éléments de programmation et introduction à Java

Éléments de programmation et introduction à Java Éléments de programmation et introduction à Java Jean-Baptiste Vioix (jean-baptiste.vioix@iut-dijon.u-bourgogne.fr) IUT de Dijon-Auxerre - LE2I http://jb.vioix.free.fr 1-20 Les différents langages informatiques

Plus en détail

Architecture matérielle des systèmes informatiques

Architecture matérielle des systèmes informatiques Architecture matérielle des systèmes informatiques IDEC, Renens. Version novembre 2003. Avertissement : ce support de cours n est pas destiné à l autoformation et doit impérativement être complété par

Plus en détail

TO4T Technologie des ordinateurs. Séance 1 Introduction aux technologies des ordinateurs

TO4T Technologie des ordinateurs. Séance 1 Introduction aux technologies des ordinateurs TO4T Technologie des ordinateurs Séance 1 Introduction aux technologies des ordinateurs Sébastien Combéfis mardi 27 janvier 2015 Ce(tte) œuvre est mise à disposition selon les termes de la Licence Creative

Plus en détail

ELP 304 : Électronique Numérique. Cours 1 Introduction

ELP 304 : Électronique Numérique. Cours 1 Introduction ELP 304 : Électronique Numérique Cours 1 Introduction Catherine Douillard Dépt Électronique Les systèmes numériques : généralités (I) En électronique numérique, le codage des informations utilise deux

Plus en détail

Informatique Générale

Informatique Générale Informatique Générale Guillaume Hutzler Laboratoire IBISC (Informatique Biologie Intégrative et Systèmes Complexes) guillaume.hutzler@ibisc.univ-evry.fr Cours Dokeos 625 http://www.ens.univ-evry.fr/modx/dokeos.html

Plus en détail

Algèbre binaire et Circuits logiques (2007-2008)

Algèbre binaire et Circuits logiques (2007-2008) Université Mohammed V Faculté des Sciences Département de Mathématiques et Informatique Filière : SMI Algèbre binaire et Circuits logiques (27-28) Prof. Abdelhakim El Imrani Plan. Algèbre de Boole 2. Circuits

Plus en détail

Hiérarchie matériel dans le monde informatique. Architecture d ordinateur : introduction. Hiérarchie matériel dans le monde informatique

Hiérarchie matériel dans le monde informatique. Architecture d ordinateur : introduction. Hiérarchie matériel dans le monde informatique Architecture d ordinateur : introduction Dimitri Galayko Introduction à l informatique, cours 1 partie 2 Septembre 2014 Association d interrupteurs: fonctions arithmétiques élémentaires Elément «NON» Elément

Plus en détail

Un ordinateur, c est quoi?

Un ordinateur, c est quoi? B-A.BA Un ordinateur, c est quoi? Un ordinateur, c est quoi? Un ordinateur est une machine dotée d'une unité de traitement lui permettant d'exécuter des programmes enregistrés. C'est un ensemble de circuits

Plus en détail

Arithmétique binaire. Chapitre. 5.1 Notions. 5.1.1 Bit. 5.1.2 Mot

Arithmétique binaire. Chapitre. 5.1 Notions. 5.1.1 Bit. 5.1.2 Mot Chapitre 5 Arithmétique binaire L es codes sont manipulés au quotidien sans qu on s en rende compte, et leur compréhension est quasi instinctive. Le seul fait de lire fait appel au codage alphabétique,

Plus en détail

VIII- Circuits séquentiels. Mémoires

VIII- Circuits séquentiels. Mémoires 1 VIII- Circuits séquentiels. Mémoires Maintenant le temps va intervenir. Nous avions déjà indiqué que la traversée d une porte ne se faisait pas instantanément et qu il fallait en tenir compte, notamment

Plus en détail

Systèmes et traitement parallèles

Systèmes et traitement parallèles Systèmes et traitement parallèles Mohsine Eleuldj Département Génie Informatique, EMI eleuldj@emi.ac.ma 1 Système et traitement parallèle Objectif Etude des architectures parallèles Programmation des applications

Plus en détail

Informatique et Société : une brève histoire

Informatique et Société : une brève histoire Informatique et Société : une brève histoire Igor Stéphan UFR Sciences Angers 2012-2013 Igor Stéphan UEL29 1/ 24 Informatique et Société : une brève histoire 1 Les conditions de l émergence 2 3 4 5 Igor

Plus en détail

Machines virtuelles Cours 1 : Introduction

Machines virtuelles Cours 1 : Introduction Machines virtuelles Cours 1 : Introduction Pierre Letouzey 1 pierre.letouzey@inria.fr PPS - Université Denis Diderot Paris 7 janvier 2012 1. Merci à Y. Régis-Gianas pour les transparents Qu est-ce qu une

Plus en détail

Module 3 : L électricité

Module 3 : L électricité Sciences 9 e année Nom : Classe : Module 3 : L électricité Partie 1 : Électricité statique et courant électrique (chapitre 7 et début du chapitre 8) 1. L électrostatique a. Les charges et les décharges

Plus en détail

Architecture des Ordinateurs. Partie II:

Architecture des Ordinateurs. Partie II: Architecture des Ordinateurs Partie II: Le port Floppy permet le raccordement du lecteur de disquette àla carte mère. Remarque: Le lecteur de disquette a disparu il y a plus de 6 ans, son port suivra.

Plus en détail

Système binaire. Algèbre booléenne

Système binaire. Algèbre booléenne Algèbre booléenne Système binaire Système digital qui emploie des signaux à deux valeurs uniques En général, les digits employés sont 0 et 1, qu'on appelle bits (binary digits) Avantages: on peut utiliser

Plus en détail

MPI Activité.10 : Logique binaire Portes logiques

MPI Activité.10 : Logique binaire Portes logiques MPI Activité.10 : Logique binaire Portes logiques I. Introduction De nombreux domaines font appel aux circuits logiques de commutation : non seulement l'informatique, mais aussi les technologies de l'asservissement

Plus en détail

QUESTION 1 {2 points}

QUESTION 1 {2 points} ELE4301 Systèmes logiques II Page 1 de 8 QUESTION 1 {2 points} En se servant de paramètres électriques donnés dans le Tableau 1 ci-dessous, on désire déterminer la fréquence d opération du compteur présenté

Plus en détail

ASR1 TD7 : Un microprocesseur RISC 16 bits

ASR1 TD7 : Un microprocesseur RISC 16 bits {Â Ö Ñ º ØÖ Ý,È ØÖ ºÄÓ Ù,Æ ÓÐ ºÎ ÝÖ Ø¹ ÖÚ ÐÐÓÒ} Ò ¹ÐÝÓÒº Ö ØØÔ»»Ô Ö Óº Ò ¹ÐÝÓÒº Ö» Ö Ñ º ØÖ Ý»¼ Ö½» ASR1 TD7 : Un microprocesseur RISC 16 bits 13, 20 et 27 novembre 2006 Présentation générale On choisit

Plus en détail

Une histoire de la microélectronique

Une histoire de la microélectronique Une histoire de la microélectronique Philippe Matherat GET - Télécom-Paris - Comelec / CNRS - LTCI (UMR 5141) http://www.comelec.enst.fr/ matherat/ Résumé Ce texte est une esquisse d histoire de la microélectronique,

Plus en détail

Le multiplexage. Sommaire

Le multiplexage. Sommaire Sommaire Table des matières 1- GENERALITES... 2 1-1 Introduction... 2 1-2 Multiplexage... 4 1-3 Transmission numérique... 5 2- LA NUMERATION HEXADECIMALE Base 16... 8 3- ARCHITECTURE ET PROTOCOLE DES RESEAUX...

Plus en détail

ARDUINO DOSSIER RESSOURCE POUR LA CLASSE

ARDUINO DOSSIER RESSOURCE POUR LA CLASSE ARDUINO DOSSIER RESSOURCE POUR LA CLASSE Sommaire 1. Présentation 2. Exemple d apprentissage 3. Lexique de termes anglais 4. Reconnaître les composants 5. Rendre Arduino autonome 6. Les signaux d entrée

Plus en détail

3 ÉLÉMENTS forment un LABoratoire.

3 ÉLÉMENTS forment un LABoratoire. 3 ÉLÉMENTS forment un LABoratoire. L environnement d apprentissage COM3LAB combine l expérimentation et les avantages du e-learning interactif. La nouvelle unité centrale (console) est la jonction entre

Plus en détail

Nombres, mesures et incertitudes en sciences physiques et chimiques. Groupe des Sciences physiques et chimiques de l IGEN

Nombres, mesures et incertitudes en sciences physiques et chimiques. Groupe des Sciences physiques et chimiques de l IGEN Nombres, mesures et incertitudes en sciences physiques et chimiques. Groupe des Sciences physiques et chimiques de l IGEN Table des matières. Introduction....3 Mesures et incertitudes en sciences physiques

Plus en détail

Chapitre 13 Numérisation de l information

Chapitre 13 Numérisation de l information DERNIÈRE IMPRESSION LE 2 septembre 2013 à 17:33 Chapitre 13 Numérisation de l information Table des matières 1 Transmission des informations 2 2 La numérisation 2 2.1 L échantillonage..............................

Plus en détail

BCI - TPSP - Processeurs et Architectures Numériques

BCI - TPSP - Processeurs et Architectures Numériques BCI - TPSP - Processeurs et Architectures Numériques Jean-Luc Danger Guillaume Duc Tarik Graba Philippe Matherat Yves Mathieu Lirida Naviner Alexis Polti Jean Provost c 2002-2011 groupe SEN, Télécom ParisTech

Plus en détail

1 Architecture du cœur ARM Cortex M3. Le cœur ARM Cortex M3 sera présenté en classe à partir des éléments suivants :

1 Architecture du cœur ARM Cortex M3. Le cœur ARM Cortex M3 sera présenté en classe à partir des éléments suivants : GIF-3002 SMI et Architecture du microprocesseur Ce cours discute de l impact du design du microprocesseur sur le système entier. Il présente d abord l architecture du cœur ARM Cortex M3. Ensuite, le cours

Plus en détail

THEME 1 : L ORDINATEUR ET SON ENVIRONNEMENT. Objectifs

THEME 1 : L ORDINATEUR ET SON ENVIRONNEMENT. Objectifs Architecture Matérielle des Systèmes Informatiques. S1 BTS Informatique de Gestion 1 ère année THEME 1 : L ORDINATEUR ET SON ENVIRONNEMENT Dossier 1 L environnement informatique. Objectifs Enumérer et

Plus en détail

La conversion de données : Convertisseur Analogique Numérique (CAN) Convertisseur Numérique Analogique (CNA)

La conversion de données : Convertisseur Analogique Numérique (CAN) Convertisseur Numérique Analogique (CNA) La conversion de données : Convertisseur Analogique Numérique (CAN) Convertisseur Numérique Analogique (CNA) I. L'intérêt de la conversion de données, problèmes et définitions associés. I.1. Définitions:

Plus en détail

Tout savoir sur le matériel informatique

Tout savoir sur le matériel informatique Tout savoir sur le matériel informatique Thème de l exposé : Les Processeurs Date : 05 Novembre 2010 Orateurs : Hugo VIAL-JAIME Jérémy RAMBAUD Sommaire : 1. Introduction... 3 2. Historique... 4 3. Relation

Plus en détail

Alchin Couderc Flambard TBSEID 2

Alchin Couderc Flambard TBSEID 2 Alchin Couderc Flambard Lycée Charles Blanc TBSEID 2 2008/2009 Depuis le début de notre formation en électronique en lycée professionnel nous avons vu plusieurs domaines d'intervention tel que le brun,

Plus en détail

Conception de circuits numériques et architecture des ordinateurs

Conception de circuits numériques et architecture des ordinateurs Conception de circuits numériques et architecture des ordinateurs Frédéric Pétrot Année universitaire 2014-2015 Structure du cours C1 C2 C3 C4 C5 C6 C7 C8 C9 C10 C11 Codage des nombres en base 2, logique

Plus en détail

Informatique UE 102. Jean-Yves Antoine. Architecture des ordinateurs et Algorithmique de base. UFR Sciences et Techniques Licence S&T 1ère année

Informatique UE 102. Jean-Yves Antoine. Architecture des ordinateurs et Algorithmique de base. UFR Sciences et Techniques Licence S&T 1ère année UFR Sciences et Techniques Licence S&T 1ère année Informatique UE 102 Architecture des ordinateurs et Algorithmique de base Jean-Yves Antoine http://www.info.univ-tours.fr/~antoine/ UFR Sciences et Techniques

Plus en détail

Cours Informatique 1. Monsieur SADOUNI Salheddine

Cours Informatique 1. Monsieur SADOUNI Salheddine Cours Informatique 1 Chapitre 2 les Systèmes Informatique Monsieur SADOUNI Salheddine Un Système Informatique lesystème Informatique est composé de deux parties : -le Matériel : constitué de l unité centrale

Plus en détail

ET 24 : Modèle de comportement d un système Boucles de programmation avec Labview.

ET 24 : Modèle de comportement d un système Boucles de programmation avec Labview. ET 24 : Modèle de comportement d un système Boucles de programmation avec Labview. Sciences et Technologies de l Industrie et du Développement Durable Formation des enseignants parcours : ET24 Modèle de

Plus en détail

3. SPÉCIFICATIONS DU LOGICIEL. de l'expression des besoins à la conception. Spécifications fonctionnelles Analyse fonctionnelle et méthodes

3. SPÉCIFICATIONS DU LOGICIEL. de l'expression des besoins à la conception. Spécifications fonctionnelles Analyse fonctionnelle et méthodes PLAN CYCLE DE VIE D'UN LOGICIEL EXPRESSION DES BESOINS SPÉCIFICATIONS DU LOGICIEL CONCEPTION DU LOGICIEL LA PROGRAMMATION TESTS ET MISE AU POINT DOCUMENTATION CONCLUSION C.Crochepeyre Génie Logiciel Diapason

Plus en détail

THÈSE DEVANT L UNIVERSITÉ DE RENNES 1

THÈSE DEVANT L UNIVERSITÉ DE RENNES 1 N d ordre : 3881 THÈSE présentée DEVANT L UNIVERSITÉ DE RENNES 1 pour obtenir le grade de : DOCTEUR DE L UNIVERSITÉ DE RENNES 1 Mention : Traitement du Signal et Télécommunications par Julien LALLET Équipe

Plus en détail

Chimie et physique informatiques

Chimie et physique informatiques Chimie et physique informatiques 1 ère partie Information et ordinateurs 2 ème partie Programmation en Turbo Pascal 1 ère partie Information et ordinateurs Objectif de la 1 ère partie 1) Introduction 2)

Plus en détail

Acquisition et conditionnement de l information Les capteurs

Acquisition et conditionnement de l information Les capteurs Acquisition et conditionnement de l information Les capteurs COURS 1. Exemple d une chaîne d acquisition d une information L'acquisition de la grandeur physique est réalisée par un capteur qui traduit

Plus en détail

Initiation au binaire

Initiation au binaire Présenté par TryEngineering Objet de la leçon Cette leçon explique les principes du code binaire et ses applications possibles par les ingénieurs informaticiens. Dans cette leçon, les élèves réaliseront

Plus en détail

Éléments d'architecture des ordinateurs

Éléments d'architecture des ordinateurs Chapitre 1 Éléments d'architecture des ordinateurs Machines take me by surprise with great frequency. Alan Turing 1.1 Le Hardware Avant d'attaquer la programmation, il est bon d'avoir quelques connaissances

Plus en détail

IV- Comment fonctionne un ordinateur?

IV- Comment fonctionne un ordinateur? 1 IV- Comment fonctionne un ordinateur? L ordinateur est une alliance du hardware (le matériel) et du software (les logiciels). Jusqu à présent, nous avons surtout vu l aspect «matériel», avec les interactions

Plus en détail

Les fonctions logiques

Les fonctions logiques 1 Les fonctions logiques Le fonctionnement des ordinateurs tout comme d autres appareils électroniques repose sur l emploi des circuits électroniques de logique binaire ou électronique numérique. Dans

Plus en détail

Dossier 03 Périphériques d acquisition

Dossier 03 Périphériques d acquisition Dossier 03 Périphériques d acquisition I. PERIPHERIQUES D ACQUISITION... 1 II. CARACTERISTIQUES GENERALES... 2 A. CLAVIER... 2 B. SOURIS... 3 C. LECTEUR DE CODES A BARRES (OU CODE-BARRES)... 3 D. SCANNER...

Plus en détail

Introduction à l algorithmique et à Java

Introduction à l algorithmique et à Java à l algorithmique et à Java Licence 1 MASS, parcours SEMS et ESD à Java et à l algorithmique verel@i3s.unice.fr www.i3s.unice.fr/ verel Équipe ScoBi - Université Nice Sophia Antipolis 1 er février 2013

Plus en détail

Manuel d'utilisation de la maquette

Manuel d'utilisation de la maquette Manuel d'utilisation de la maquette PANNEAU SOLAIRE AUTO-PILOTE Enseignement au lycée Article Code Panneau solaire auto-piloté 14740 Document non contractuel L'énergie solaire L'énergie solaire est l'énergie

Plus en détail

Concevoir son microprocesseur

Concevoir son microprocesseur Concevoir son microprocesseur structure des systèmes logiques Jean-Christophe Buisson Collection Technosup Ellipses Avant-propos Ce livre s adresse aux étudiants en informatique de licence et maîtrise,

Plus en détail

Génie Industriel et Maintenance

Génie Industriel et Maintenance Génie Industriel et Maintenance Pour qu aucun de ces systèmes ne tombe en panne. Plan de la visite 1 2 3 6 4 5 Guide visite du département Génie Industriel et Maintenance 1 Salles Informatiques Utilisation

Plus en détail

Initiation à LabView : Les exemples d applications :

Initiation à LabView : Les exemples d applications : Initiation à LabView : Les exemples d applications : c) Type de variables : Créer un programme : Exemple 1 : Calcul de c= 2(a+b)(a-3b) ou a, b et c seront des réels. «Exemple1» nom du programme : «Exemple

Plus en détail

CH.3 SYSTÈMES D'EXPLOITATION

CH.3 SYSTÈMES D'EXPLOITATION CH.3 SYSTÈMES D'EXPLOITATION 3.1 Un historique 3.2 Une vue générale 3.3 Les principaux aspects Info S4 ch3 1 3.1 Un historique Quatre générations. Préhistoire 1944 1950 ENIAC (1944) militaire : 20000 tubes,

Plus en détail

V- Manipulations de nombres en binaire

V- Manipulations de nombres en binaire 1 V- Manipulations de nombres en binaire L ordinateur est constitué de milliards de transistors qui travaillent comme des interrupteurs électriques, soit ouverts soit fermés. Soit la ligne est activée,

Plus en détail

INITIATION AU LANGAGE C SUR PIC DE MICROSHIP

INITIATION AU LANGAGE C SUR PIC DE MICROSHIP COURS PROGRAMMATION INITIATION AU LANGAGE C SUR MICROCONTROLEUR PIC page 1 / 7 INITIATION AU LANGAGE C SUR PIC DE MICROSHIP I. Historique du langage C 1972 : naissance du C dans les laboratoires BELL par

Plus en détail

Introduction à l Informatique licence 1 ère année Notes de Cours

Introduction à l Informatique licence 1 ère année Notes de Cours Introduction à l Informatique licence 1 ère année Notes de Cours Philippe Le Parc Mail : leparc@univ-brest.fr Bureau : LC101 Tel : (029801) 6960 Fiche UE (part.1) 2 Plan et planning Début des cours magistraux

Plus en détail

Représentation des Nombres

Représentation des Nombres Chapitre 5 Représentation des Nombres 5. Representation des entiers 5.. Principe des représentations en base b Base L entier écrit 344 correspond a 3 mille + 4 cent + dix + 4. Plus généralement a n a n...

Plus en détail

Une version javascript sera disponible directement dans le cours prochainement.

Une version javascript sera disponible directement dans le cours prochainement. Author : Cédric Vanconingsloo Ce cours est principalement axé sur la compréhension du fonctionnement d'un ordinateur et l'étude du seul langage qu'il connaisse, le binaire. De ce fait, le cours est relativement

Plus en détail

Module ATW-C-INV pour commande des unités extérieures d'inverter Fujitsu Airconditioning

Module ATW-C-INV pour commande des unités extérieures d'inverter Fujitsu Airconditioning Module ATW-C-IV pour commande des unités extérieures d'inverter Fujitsu Airconditioning e module ATW-C-IV (ci-après désigné uniquement par le terme «module») est conçu pour la commande directe des unités

Plus en détail

SOMMAIRE. B5.1 Première approche

SOMMAIRE. B5.1 Première approche APPROCHE THEORIQE LES COMPOSANTS ELECTRONIQES B5 LES IOES SOMMAIRE B5.1 Première approche B5.2 e la jonction PN à la diode B5.3 Caractéristique d'une diode B5.4 Mécanisme de conduction d'une diode B5.5

Plus en détail

GENERALITES SUR LA MESURE DE TEMPERATURE

GENERALITES SUR LA MESURE DE TEMPERATURE Distributeur exclusif de GENERALITES SUR LA MESURE DE TEMPERATURE INTRODUCTION...2 GENERALITES SUR LA MESURE DE TEMPERATURE...2 La température...2 Unités de mesure de température...3 Echelle de température...3

Plus en détail

Organisation des Ordinateurs

Organisation des Ordinateurs Organisation des Ordinateurs Bernard Boigelot E-mail : boigelot@montefiore.ulg.ac.be URL : http://www.montefiore.ulg.ac.be/~boigelot/ http://www.montefiore.ulg.ac.be/~boigelot/cours/org/ 1 Chapitre 1 Les

Plus en détail

1 L évolution de l informatique

1 L évolution de l informatique 1. L ÉVOLUTION DE L INFORMATIQUE 5 1 L évolution de l informatique Depuis la création de l ordinateur, la taille des machines a beaucoup évolué et l utilisation de l informatique également. Actuellement,

Plus en détail

CONVERTISSEURS NA ET AN

CONVERTISSEURS NA ET AN Convertisseurs numériques analogiques (xo Convertisseurs.doc) 1 CONVTIU NA T AN NOT PLIMINAI: Tous les résultats seront exprimés sous formes littérales et encadrées avant les applications numériques. Les

Plus en détail

RESUME DE COURS ET CAHIER D'EXERCICES

RESUME DE COURS ET CAHIER D'EXERCICES ARCITECTURE INFO-UP REUME DE COUR ET CAIER D'EXERCICE EPITA F. GABON Architecture EPITA INFO-UP F. Gabon COUR LIVRE D ARCITECTURE 3 REUME D'ELECTRONIUE LOGIUE 4 YTEME DE NUMERATION 6 ALGEBRE DE BOOLE 6

Plus en détail

Sur un ordinateur portable ou un All-in-One tactile, la plupart des éléments mentionnés précédemment sont regroupés. 10) 11)

Sur un ordinateur portable ou un All-in-One tactile, la plupart des éléments mentionnés précédemment sont regroupés. 10) 11) 1/ Généralités : Un ordinateur est un ensemble non exhaustif d éléments qui sert à traiter des informations (documents de bureautique, méls, sons, vidéos, programmes ) sous forme numérique. Il est en général

Plus en détail

White Paper - Livre Blanc

White Paper - Livre Blanc White Paper - Livre Blanc Développement d applications de supervision des systèmes d information Avec LoriotPro Vous disposez d un environnement informatique hétérogène et vous souhaitez à partir d une

Plus en détail

Les portes logiques. Voici les symboles des trois fonctions de base. Portes AND. Portes OR. Porte NOT

Les portes logiques. Voici les symboles des trois fonctions de base. Portes AND. Portes OR. Porte NOT Les portes logiques Nous avons jusqu ici utilisé des boutons poussoirs et une lampe pour illustrer le fonctionnement des opérateurs logiques. En électronique digitale, les opérations logiques sont effectuées

Plus en détail

Cours 3 : L'ordinateur

Cours 3 : L'ordinateur Cours 3 : L'ordinateur Abdelkrim Zehioua 2éme année Licence Gestion Faculté des sciences Économiques et sciences de Gestion Université A, Mehri - Constantine 2 Plan du cours 1.Définitions de l'ordinateur

Plus en détail

Cours d introduction à l informatique. Partie 2 : Comment écrire un algorithme? Qu est-ce qu une variable? Expressions et instructions

Cours d introduction à l informatique. Partie 2 : Comment écrire un algorithme? Qu est-ce qu une variable? Expressions et instructions Cours d introduction à l informatique Partie 2 : Comment écrire un algorithme? Qu est-ce qu une variable? Expressions et instructions Qu est-ce qu un Une recette de cuisine algorithme? Protocole expérimental

Plus en détail

Cours d électricité. Circuits électriques en courant constant. Mathieu Bardoux. 1 re année

Cours d électricité. Circuits électriques en courant constant. Mathieu Bardoux. 1 re année Cours d électricité Circuits électriques en courant constant Mathieu Bardoux mathieu.bardoux@univ-littoral.fr IUT Saint-Omer / Dunkerque Département Génie Thermique et Énergie 1 re année Objectifs du chapitre

Plus en détail

DU BINAIRE AU MICROPROCESSEUR - D ANGELIS CIRCUITS CONFIGURABLES NOTION DE PROGRAMMATION

DU BINAIRE AU MICROPROCESSEUR - D ANGELIS CIRCUITS CONFIGURABLES NOTION DE PROGRAMMATION 145 NOTION DE PROGRAMMATION 1/ Complétons notre microprocesseur Nous avons, dans les leçons précédentes décrit un microprocesseur théorique, cependant il s inspire du 6800, premier microprocesseur conçu

Plus en détail

UNIVERSITE D'ORLEANS ISSOUDUN CHATEAUROUX

UNIVERSITE D'ORLEANS ISSOUDUN CHATEAUROUX UNIVERSITE D'ORLEANS ISSOUDUN CHATEAUROUX PLAN

Plus en détail

Chapitre 4 : Les mémoires

Chapitre 4 : Les mémoires 1. Introduction: Chapitre 4 : Les mémoires Nous savons que dans un ordinateur toutes les informations : valeur numérique, instruction, adresse, symbole (chiffre, lettre,... etc.) sont manipulées sous une

Plus en détail

Les puissances 4. 4.1. La notion de puissance. 4.1.1. La puissance c est l énergie pendant une seconde CHAPITRE

Les puissances 4. 4.1. La notion de puissance. 4.1.1. La puissance c est l énergie pendant une seconde CHAPITRE 4. LES PUISSANCES LA NOTION DE PUISSANCE 88 CHAPITRE 4 Rien ne se perd, rien ne se crée. Mais alors que consomme un appareil électrique si ce n est les électrons? La puissance pardi. Objectifs de ce chapitre

Plus en détail

Electron S.R.L. SERIE B46 - SYSTEMES DIDACTIQUES DE TELEPHONIE

Electron S.R.L. SERIE B46 - SYSTEMES DIDACTIQUES DE TELEPHONIE Electron S.R.L. Design Production & Trading of Educational Equipment SERIE B46 - SYSTEMES DIDACTIQUES DE TELEPHONIE Specifications may change without notic Page 1 of 9 File BROCHURE B46xx B4610 UNITE DIDACTIQUE

Plus en détail

Matériel & Logiciels (Hardware & Software)

Matériel & Logiciels (Hardware & Software) CHAPITRE 2 HARDWARE & SOFTWARE P. 1 Chapitre 2 Matériel & Logiciels (Hardware & Software) 2.1 Matériel (Hardware) 2.1.1 Présentation de l'ordinateur Un ordinateur est un ensemble de circuits électronique

Plus en détail

ANALYSE FONCTIONNELLE INTERNE DEVOIR LIBRE

ANALYSE FONCTIONNELLE INTERNE DEVOIR LIBRE I INTRODUCTION : 1) Citer la fonction globale des systèmes suivants : Scooter : Marteau : Grille pain : Téléphone portable : Agrafeuse : 2) De quelle famille font partie les systèmes suivants? DM Etude

Plus en détail

Transmission de données. A) Principaux éléments intervenant dans la transmission

Transmission de données. A) Principaux éléments intervenant dans la transmission Page 1 / 7 A) Principaux éléments intervenant dans la transmission A.1 Equipement voisins Ordinateur ou terminal Ordinateur ou terminal Canal de transmission ETTD ETTD ETTD : Equipement Terminal de Traitement

Plus en détail

LA MESURE INDUSTRIELLE

LA MESURE INDUSTRIELLE E02 LA MESURE INDUSTRIELLE 20 Heures Technicien responsable de la maintenance Approfondir les techniques de mesure; Prendre en compte l aspect métrologie. Connaître les limites et les facteurs d influences

Plus en détail

Etudier l influence de différents paramètres sur un phénomène physique Communiquer et argumenter en utilisant un vocabulaire scientifique adapté

Etudier l influence de différents paramètres sur un phénomène physique Communiquer et argumenter en utilisant un vocabulaire scientifique adapté Compétences travaillées : Mettre en œuvre un protocole expérimental Etudier l influence de différents paramètres sur un phénomène physique Communiquer et argumenter en utilisant un vocabulaire scientifique

Plus en détail

IF AC/AO 2014/2015 Architecture des ordinateurs. Protopoly

IF AC/AO 2014/2015 Architecture des ordinateurs. Protopoly IF AC/AO 2014/2015 Architecture des ordinateurs Protopoly Florent de Dinechin avec des figures de R. Bergasse, N. Bonifas, N. Brunie, P. Boutillier, A. Derouet-Jourdan, J. Detrey, A. Friggeri, B. Grenet,

Plus en détail

Logique séquentielle

Logique séquentielle Bascules et logique séquentielle aniel Etiemble de@lri.fr Logique séquentielle Logique séquentielle Le système a des «états» ans un système séquentiel Éléments de mémorisation Les sorties dépendent des

Plus en détail

1 000 W ; 1 500 W ; 2 000 W ; 2 500 W. La chambre que je dois équiper a pour dimensions : longueur : 6 m largeur : 4 m hauteur : 2,50 m.

1 000 W ; 1 500 W ; 2 000 W ; 2 500 W. La chambre que je dois équiper a pour dimensions : longueur : 6 m largeur : 4 m hauteur : 2,50 m. EXERCICES SUR LA PUISSANCE DU COURANT ÉLECTRIQUE Exercice 1 En zone tempérée pour une habitation moyennement isolée il faut compter 40 W/m 3. Sur un catalogue, 4 modèles de radiateurs électriques sont

Plus en détail

Enregistrement automatique. des données

Enregistrement automatique. des données Enregistrement automatique des données Chapitre: 6 Page No.: 1 Il n y a que quelques années que l enregistrement manuel de données géotechniques était de coutume. L introduction de l enregistrement automatique

Plus en détail

Évaluation et implémentation des langages

Évaluation et implémentation des langages Évaluation et implémentation des langages Les langages de programmation et le processus de programmation Critères de conception et d évaluation des langages de programmation Les fondations de l implémentation

Plus en détail

Cours 1 : Qu est-ce que la programmation?

Cours 1 : Qu est-ce que la programmation? 1/65 Introduction à la programmation Cours 1 : Qu est-ce que la programmation? Yann Régis-Gianas yrg@pps.univ-paris-diderot.fr Université Paris Diderot Paris 7 2/65 1. Sortez un appareil qui peut se rendre

Plus en détail

Logiciel de gestion des équipements de test MET/TEAM. NOUVEAU logiciel convivial de gestion des ressources d étalonnage par navigateur

Logiciel de gestion des équipements de test MET/TEAM. NOUVEAU logiciel convivial de gestion des ressources d étalonnage par navigateur Logiciel de gestion des équipements de test MET/TEAM NOUVEAU logiciel convivial de gestion des ressources d étalonnage par navigateur Solution modulaire de gestion des ressources d étalonnage sur navigateur

Plus en détail

WWW.ELCON.SE Multichronomètre SA10 Présentation générale

WWW.ELCON.SE Multichronomètre SA10 Présentation générale WWW.ELCON.SE Multichronomètre SA10 Présentation générale Le SA10 est un appareil portable destiné au test des disjoncteurs moyenne tension et haute tension. Quoiqu il soit conçu pour fonctionner couplé

Plus en détail

Projet # 3 Serrure à deux clés

Projet # 3 Serrure à deux clés Département d électronique industrielle Projet # 3 Serrure à deux clés Semaines 8 et 9, 10 Session 1 Circuits logiques 243-206-RA Automne 2010 Tables des matières 1 OBJECTIFS DE L ACTIVITÉ... 3 1.1 COMPÉTENCES

Plus en détail

Structure de base d un ordinateur

Structure de base d un ordinateur Structure de base d un ordinateur 1-Définition de l ordinateur L ordinateur est un appareil électronique programmable qui traite automatiquement les informations. Il est constitué de l unité centrale et

Plus en détail

On distingue deux grandes catégories de mémoires : mémoire centrale (appelée également mémoire interne)

On distingue deux grandes catégories de mémoires : mémoire centrale (appelée également mémoire interne) Mémoire - espace destiné a recevoir, conserver et restituer des informations à traiter - tout composant électronique capable de stocker temporairement des données On distingue deux grandes catégories de

Plus en détail

MODULE I1. Plan. Introduction. Introduction. Historique. Historique avant 1969. R&T 1ère année. Sylvain MERCHEZ

MODULE I1. Plan. Introduction. Introduction. Historique. Historique avant 1969. R&T 1ère année. Sylvain MERCHEZ MODULE I1 Plan Chapitre 1 Qu'est ce qu'un S.E? Introduction Historique Présentation d'un S.E Les principaux S.E R&T 1ère année Votre environnement Sylvain MERCHEZ Introduction Introduction Rôles et fonctions

Plus en détail

Livret - 1. Informatique : le matériel. --- Ordinateur, circuits, codage, système, réseau. Cours informatique programmation.

Livret - 1. Informatique : le matériel. --- Ordinateur, circuits, codage, système, réseau. Cours informatique programmation. Livret - 1 Informatique : le matériel --- Ordinateur, circuits, codage, système, réseau. RM di scala Cours informatique programmation Rm di Scala - http://www.discala.net SOMMAIRE Introduction 2 Notations

Plus en détail

UE 503 L3 MIAGE. Initiation Réseau et Programmation Web La couche physique. A. Belaïd

UE 503 L3 MIAGE. Initiation Réseau et Programmation Web La couche physique. A. Belaïd UE 503 L3 MIAGE Initiation Réseau et Programmation Web La couche physique A. Belaïd abelaid@loria.fr http://www.loria.fr/~abelaid/ Année Universitaire 2011/2012 2 Le Modèle OSI La couche physique ou le

Plus en détail

Temps forts départementaux. Le calcul au cycle 2 Technique opératoire La soustraction

Temps forts départementaux. Le calcul au cycle 2 Technique opératoire La soustraction Temps forts départementaux Le calcul au cycle 2 Technique opératoire La soustraction Calcul au cycle 2 La soustraction fait partie du champ opératoire additif D un point de vue strictement mathématique,

Plus en détail